OpenCores
URL https://opencores.org/ocsvn/rtf68ksys/rtf68ksys/trunk

Subversion Repositories rtf68ksys

[/] [rtf68ksys/] [trunk/] [rtl/] [verilog/] [bootrom.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 robfinch
module bootrom(clk,adr,romo);
2
input clk;
3
input [31:0] adr;
4
output [15:0] romo;
5
reg [15:0] romo;
6
reg [15:0] romout;
7
always @(adr)
8
case(adr & 32'hFFFFFFFE)
9
32'hFFFF1000: romout <= 16'h564C;
10
32'hFFFF1002: romout <= 16'h4944;
11
32'hFFFF1004: romout <= 16'h0010;
12
32'hFFFF1006: romout <= 16'h0000;
13
32'hFFFF1008: romout <= 16'h0020;
14
32'hFFFF100A: romout <= 16'h0000;
15
32'hFFFF100C: romout <= 16'h0000;
16
32'hFFFF100E: romout <= 16'h0000;
17
32'hFFFF1010: romout <= 16'h0000;
18
32'hFFFF1012: romout <= 16'h0000;
19
32'hFFFF1014: romout <= 16'hFFFF;
20
32'hFFFF1016: romout <= 16'h1074;
21
32'hFFFF1018: romout <= 16'hFFFF;
22
32'hFFFF101A: romout <= 16'h1084;
23
32'hFFFF101C: romout <= 16'h0000;
24
32'hFFFF101E: romout <= 16'h0003;
25
32'hFFFF1020: romout <= 16'hFFFF;
26
32'hFFFF1022: romout <= 16'h1A5E;
27
32'hFFFF1024: romout <= 16'h4D4F;
28
32'hFFFF1026: romout <= 16'h4E49;
29
32'hFFFF1028: romout <= 16'h544F;
30
32'hFFFF102A: romout <= 16'h5220;
31
32'hFFFF102C: romout <= 16'h0000;
32
32'hFFFF102E: romout <= 16'h0800;
33
32'hFFFF1030: romout <= 16'h0000;
34
32'hFFFF1032: romout <= 16'h0000;
35
32'hFFFF1034: romout <= 16'h0000;
36
32'hFFFF1036: romout <= 16'h0000;
37
32'hFFFF1038: romout <= 16'h0000;
38
32'hFFFF103A: romout <= 16'h0001;
39
32'hFFFF103C: romout <= 16'hFFFF;
40
32'hFFFF103E: romout <= 16'h2400;
41
32'hFFFF1040: romout <= 16'h5449;
42
32'hFFFF1042: romout <= 16'h4E59;
43
32'hFFFF1044: romout <= 16'h2042;
44
32'hFFFF1046: romout <= 16'h4153;
45
32'hFFFF1048: romout <= 16'h0000;
46
32'hFFFF104A: romout <= 16'h0800;
47
32'hFFFF104C: romout <= 16'h0000;
48
32'hFFFF104E: romout <= 16'h0000;
49
32'hFFFF1050: romout <= 16'h0000;
50
32'hFFFF1052: romout <= 16'h0000;
51
32'hFFFF1054: romout <= 16'h0001;
52
32'hFFFF1056: romout <= 16'h0002;
53
32'hFFFF1058: romout <= 16'hFFFF;
54
32'hFFFF105A: romout <= 16'h12EC;
55
32'hFFFF105C: romout <= 16'h4944;
56
32'hFFFF105E: romout <= 16'h4C45;
57
32'hFFFF1060: romout <= 16'h5441;
58
32'hFFFF1062: romout <= 16'h534B;
59
32'hFFFF1064: romout <= 16'h0000;
60
32'hFFFF1066: romout <= 16'h0400;
61
32'hFFFF1068: romout <= 16'h0000;
62
32'hFFFF106A: romout <= 16'h0000;
63
32'hFFFF106C: romout <= 16'h0000;
64
32'hFFFF106E: romout <= 16'h0000;
65
32'hFFFF1070: romout <= 16'h0001;
66
32'hFFFF1072: romout <= 16'h0003;
67
32'hFFFF1074: romout <= 16'hFFFF;
68
32'hFFFF1076: romout <= 16'h16B0;
69
32'hFFFF1078: romout <= 16'hFFFF;
70
32'hFFFF107A: romout <= 16'h16D4;
71
32'hFFFF107C: romout <= 16'hFFFF;
72
32'hFFFF107E: romout <= 16'h1680;
73
32'hFFFF1080: romout <= 16'hFFFF;
74
32'hFFFF1082: romout <= 16'h169A;
75
32'hFFFF1084: romout <= 16'h0000;
76
32'hFFFF1086: romout <= 16'h0200;
77
32'hFFFF1100: romout <= 16'h31FC;
78
32'hFFFF1102: romout <= 16'h00CE;
79
32'hFFFF1104: romout <= 16'h0414;
80
32'hFFFF1106: romout <= 16'h11FC;
81
32'hFFFF1108: romout <= 16'h0001;
82
32'hFFFF110A: romout <= 16'h041C;
83
32'hFFFF110C: romout <= 16'h4EB9;
84
32'hFFFF110E: romout <= 16'hFFFF;
85
32'hFFFF1110: romout <= 16'h18E8;
86
32'hFFFF1112: romout <= 16'h4278;
87
32'hFFFF1114: romout <= 16'h0418;
88
32'hFFFF1116: romout <= 16'h4278;
89
32'hFFFF1118: romout <= 16'h041A;
90
32'hFFFF111A: romout <= 16'h43F9;
91
32'hFFFF111C: romout <= 16'hFFFF;
92
32'hFFFF111E: romout <= 16'h1238;
93
32'hFFFF1120: romout <= 16'h4EB9;
94
32'hFFFF1122: romout <= 16'hFFFF;
95
32'hFFFF1124: romout <= 16'h1858;
96
32'hFFFF1126: romout <= 16'h47F9;
97
32'hFFFF1128: romout <= 16'hFFFF;
98
32'hFFFF112A: romout <= 16'h1132;
99
32'hFFFF112C: romout <= 16'h4EF9;
100
32'hFFFF112E: romout <= 16'hFFFF;
101
32'hFFFF1130: romout <= 16'h1F52;
102
32'hFFFF1132: romout <= 16'h2079;
103
32'hFFFF1134: romout <= 16'hFFFF;
104
32'hFFFF1136: romout <= 16'h2420;
105
32'hFFFF1138: romout <= 16'h4E60;
106
32'hFFFF113A: romout <= 16'h31FC;
107
32'hFFFF113C: romout <= 16'h00CE;
108
32'hFFFF113E: romout <= 16'h0414;
109
32'hFFFF1140: romout <= 16'h11FC;
110
32'hFFFF1142: romout <= 16'h0001;
111
32'hFFFF1144: romout <= 16'h041C;
112
32'hFFFF1146: romout <= 16'h4278;
113
32'hFFFF1148: romout <= 16'h0418;
114
32'hFFFF114A: romout <= 16'h4278;
115
32'hFFFF114C: romout <= 16'h041A;
116
32'hFFFF114E: romout <= 16'h223C;
117
32'hFFFF1150: romout <= 16'h0000;
118
32'hFFFF1152: romout <= 16'h8000;
119
32'hFFFF1154: romout <= 16'h41F9;
120
32'hFFFF1156: romout <= 16'hFFD8;
121
32'hFFFF1158: romout <= 16'h0000;
122
32'hFFFF115A: romout <= 16'h2039;
123
32'hFFFF115C: romout <= 16'hFFDC;
124
32'hFFFF115E: romout <= 16'h0C00;
125
32'hFFFF1160: romout <= 16'h30C0;
126
32'hFFFF1162: romout <= 16'h5381;
127
32'hFFFF1164: romout <= 16'h66F4;
128
32'hFFFF1166: romout <= 16'h41F9;
129
32'hFFFF1168: romout <= 16'hFFFF;
130
32'hFFFF116A: romout <= 16'h2022;
131
32'hFFFF116C: romout <= 16'h21C8;
132
32'hFFFF116E: romout <= 16'h0008;
133
32'hFFFF1170: romout <= 16'h41F9;
134
32'hFFFF1172: romout <= 16'hFFFF;
135
32'hFFFF1174: romout <= 16'h200C;
136
32'hFFFF1176: romout <= 16'h21C8;
137
32'hFFFF1178: romout <= 16'h000C;
138
32'hFFFF117A: romout <= 16'h41F9;
139
32'hFFFF117C: romout <= 16'hFFFF;
140
32'hFFFF117E: romout <= 16'h2038;
141
32'hFFFF1180: romout <= 16'h21C8;
142
32'hFFFF1182: romout <= 16'h0010;
143
32'hFFFF1184: romout <= 16'h41F9;
144
32'hFFFF1186: romout <= 16'hFFFF;
145
32'hFFFF1188: romout <= 16'h1298;
146
32'hFFFF118A: romout <= 16'h21C8;
147
32'hFFFF118C: romout <= 16'h0078;
148
32'hFFFF118E: romout <= 16'h41F9;
149
32'hFFFF1190: romout <= 16'hFFFF;
150
32'hFFFF1192: romout <= 16'h1250;
151
32'hFFFF1194: romout <= 16'h21C8;
152
32'hFFFF1196: romout <= 16'h007C;
153
32'hFFFF1198: romout <= 16'h41F9;
154
32'hFFFF119A: romout <= 16'hFFFF;
155
32'hFFFF119C: romout <= 16'h0800;
156
32'hFFFF119E: romout <= 16'h21C8;
157
32'hFFFF11A0: romout <= 16'h0080;
158
32'hFFFF11A2: romout <= 16'h41F9;
159
32'hFFFF11A4: romout <= 16'hFFFF;
160
32'hFFFF11A6: romout <= 16'h0400;
161
32'hFFFF11A8: romout <= 16'h21C8;
162
32'hFFFF11AA: romout <= 16'h0084;
163
32'hFFFF11AC: romout <= 16'h41F9;
164
32'hFFFF11AE: romout <= 16'hFFFF;
165
32'hFFFF11B0: romout <= 16'h0C00;
166
32'hFFFF11B2: romout <= 16'h21C8;
167
32'hFFFF11B4: romout <= 16'h0088;
168
32'hFFFF11B6: romout <= 16'h41F9;
169
32'hFFFF11B8: romout <= 16'hFFFF;
170
32'hFFFF11BA: romout <= 16'h1312;
171
32'hFFFF11BC: romout <= 16'h21C8;
172
32'hFFFF11BE: romout <= 16'h00BC;
173
32'hFFFF11C0: romout <= 16'h42B8;
174
32'hFFFF11C2: romout <= 16'h0400;
175
32'hFFFF11C4: romout <= 16'h027C;
176
32'hFFFF11C6: romout <= 16'hF000;
177
32'hFFFF11C8: romout <= 16'h700E;
178
32'hFFFF11CA: romout <= 16'h43F9;
179
32'hFFFF11CC: romout <= 16'hFFFF;
180
32'hFFFF11CE: romout <= 16'h1241;
181
32'hFFFF11D0: romout <= 16'h4E4F;
182
32'hFFFF11D2: romout <= 16'h4EF9;
183
32'hFFFF11D4: romout <= 16'hFFFF;
184
32'hFFFF11D6: romout <= 16'h2400;
185
32'hFFFF11D8: romout <= 16'h7005;
186
32'hFFFF11DA: romout <= 16'h4E4F;
187
32'hFFFF11DC: romout <= 16'h0C01;
188
32'hFFFF11DE: romout <= 16'h0078;
189
32'hFFFF11E0: romout <= 16'h66F0;
190
32'hFFFF11E2: romout <= 16'h203C;
191
32'hFFFF11E4: romout <= 16'h0004;
192
32'hFFFF11E6: romout <= 16'h0000;
193
32'hFFFF11E8: romout <= 16'h41F9;
194
32'hFFFF11EA: romout <= 16'h0002;
195
32'hFFFF11EC: romout <= 16'h0000;
196
32'hFFFF11EE: romout <= 16'h343C;
197
32'hFFFF11F0: romout <= 16'h1234;
198
32'hFFFF11F2: romout <= 16'h30C2;
199
32'hFFFF11F4: romout <= 16'h5380;
200
32'hFFFF11F6: romout <= 16'h66FA;
201
32'hFFFF11F8: romout <= 16'h4EF9;
202
32'hFFFF11FA: romout <= 16'hFFFF;
203
32'hFFFF11FC: romout <= 16'h2400;
204
32'hFFFF11FE: romout <= 16'h4239;
205
32'hFFFF1200: romout <= 16'hFFDC;
206
32'hFFFF1202: romout <= 16'h0A07;
207
32'hFFFF1204: romout <= 16'h45F9;
208
32'hFFFF1206: romout <= 16'hFFFF;
209
32'hFFFF1208: romout <= 16'h0000;
210
32'hFFFF120A: romout <= 16'h121A;
211
32'hFFFF120C: romout <= 16'h1039;
212
32'hFFFF120E: romout <= 16'hFFDC;
213
32'hFFFF1210: romout <= 16'h0A01;
214
32'hFFFF1212: romout <= 16'h0800;
215
32'hFFFF1214: romout <= 16'h0005;
216
32'hFFFF1216: romout <= 16'h67F4;
217
32'hFFFF1218: romout <= 16'h13C1;
218
32'hFFFF121A: romout <= 16'hFFDC;
219
32'hFFFF121C: romout <= 16'h0A00;
220
32'hFFFF121E: romout <= 16'hB5FC;
221
32'hFFFF1220: romout <= 16'hFFFF;
222
32'hFFFF1222: romout <= 16'h0100;
223
32'hFFFF1224: romout <= 16'h65E4;
224
32'hFFFF1226: romout <= 16'h60DC;
225
32'hFFFF1228: romout <= 16'h1039;
226
32'hFFFF122A: romout <= 16'hFFDD;
227
32'hFFFF122C: romout <= 16'h0000;
228
32'hFFFF122E: romout <= 16'h6AF8;
229
32'hFFFF1230: romout <= 16'h2079;
230
32'hFFFF1232: romout <= 16'hFFDD;
231
32'hFFFF1234: romout <= 16'h0004;
232
32'hFFFF1236: romout <= 16'h4ED0;
233
32'hFFFF1238: romout <= 16'h5241;
234
32'hFFFF123A: romout <= 16'h4D20;
235
32'hFFFF123C: romout <= 16'h5445;
236
32'hFFFF123E: romout <= 16'h5354;
237
32'hFFFF1240: romout <= 16'h0042;
238
32'hFFFF1242: romout <= 16'h4F4F;
239
32'hFFFF1244: romout <= 16'h5449;
240
32'hFFFF1246: romout <= 16'h4E47;
241
32'hFFFF1248: romout <= 16'h2E2E;
242
32'hFFFF124A: romout <= 16'h2E2E;
243
32'hFFFF124C: romout <= 16'h00FF;
244
32'hFFFF124E: romout <= 16'hFFFF;
245
32'hFFFF1250: romout <= 16'h4EF9;
246
32'hFFFF1252: romout <= 16'hFFFF;
247
32'hFFFF1254: romout <= 16'h1100;
248
32'hFFFF1256: romout <= 16'h4E73;
249
32'hFFFF1258: romout <= 16'h48E7;
250
32'hFFFF125A: romout <= 16'hC080;
251
32'hFFFF125C: romout <= 16'h3238;
252
32'hFFFF125E: romout <= 16'h0450;
253
32'hFFFF1260: romout <= 16'h0241;
254
32'hFFFF1262: romout <= 16'h000F;
255
32'hFFFF1264: romout <= 16'h41F8;
256
32'hFFFF1266: romout <= 16'h0440;
257
32'hFFFF1268: romout <= 16'h3039;
258
32'hFFFF126A: romout <= 16'hFFDC;
259
32'hFFFF126C: romout <= 16'h0000;
260
32'hFFFF126E: romout <= 16'h4279;
261
32'hFFFF1270: romout <= 16'hFFDC;
262
32'hFFFF1272: romout <= 16'h0002;
263
32'hFFFF1274: romout <= 16'h1180;
264
32'hFFFF1276: romout <= 16'h1000;
265
32'hFFFF1278: romout <= 16'h5241;
266
32'hFFFF127A: romout <= 16'h0241;
267
32'hFFFF127C: romout <= 16'h000F;
268
32'hFFFF127E: romout <= 16'h31C1;
269
32'hFFFF1280: romout <= 16'h0450;
270
32'hFFFF1282: romout <= 16'hB278;
271
32'hFFFF1284: romout <= 16'h0452;
272
32'hFFFF1286: romout <= 16'h660A;
273
32'hFFFF1288: romout <= 16'h5241;
274
32'hFFFF128A: romout <= 16'h0241;
275
32'hFFFF128C: romout <= 16'h000F;
276
32'hFFFF128E: romout <= 16'h31C1;
277
32'hFFFF1290: romout <= 16'h0452;
278
32'hFFFF1292: romout <= 16'h4CDF;
279
32'hFFFF1294: romout <= 16'h0103;
280
32'hFFFF1296: romout <= 16'h4E73;
281
32'hFFFF1298: romout <= 16'h2F00;
282
32'hFFFF129A: romout <= 16'h52B8;
283
32'hFFFF129C: romout <= 16'h0400;
284
32'hFFFF129E: romout <= 16'h5279;
285
32'hFFFF12A0: romout <= 16'hFFD0;
286
32'hFFFF12A2: romout <= 16'h0066;
287
32'hFFFF12A4: romout <= 16'h4A39;
288
32'hFFFF12A6: romout <= 16'hFFFF;
289
32'hFFFF12A8: romout <= 16'h0000;
290
32'hFFFF12AA: romout <= 16'h2038;
291
32'hFFFF12AC: romout <= 16'h0400;
292
32'hFFFF12AE: romout <= 16'h0200;
293
32'hFFFF12B0: romout <= 16'h007F;
294
32'hFFFF12B2: romout <= 16'h0C00;
295
32'hFFFF12B4: romout <= 16'h0040;
296
32'hFFFF12B6: romout <= 16'h6604;
297
32'hFFFF12B8: romout <= 16'h6100;
298
32'hFFFF12BA: romout <= 16'h0006;
299
32'hFFFF12BC: romout <= 16'h201F;
300
32'hFFFF12BE: romout <= 16'h4E73;
301
32'hFFFF12C0: romout <= 16'h48E7;
302
32'hFFFF12C2: romout <= 16'hA0C0;
303
32'hFFFF12C4: romout <= 16'h6100;
304
32'hFFFF12C6: romout <= 16'h0440;
305
32'hFFFF12C8: romout <= 16'hD1FC;
306
32'hFFFF12CA: romout <= 16'h0001;
307
32'hFFFF12CC: romout <= 16'h0000;
308
32'hFFFF12CE: romout <= 16'h3010;
309
32'hFFFF12D0: romout <= 16'hE818;
310
32'hFFFF12D2: romout <= 16'h3080;
311
32'hFFFF12D4: romout <= 16'hB1F8;
312
32'hFFFF12D6: romout <= 16'h0404;
313
32'hFFFF12D8: romout <= 16'h670C;
314
32'hFFFF12DA: romout <= 16'h2278;
315
32'hFFFF12DC: romout <= 16'h0404;
316
32'hFFFF12DE: romout <= 16'h32B8;
317
32'hFFFF12E0: romout <= 16'h0414;
318
32'hFFFF12E2: romout <= 16'h21C8;
319
32'hFFFF12E4: romout <= 16'h0404;
320
32'hFFFF12E6: romout <= 16'h4CDF;
321
32'hFFFF12E8: romout <= 16'h0305;
322
32'hFFFF12EA: romout <= 16'h4E75;
323
32'hFFFF12EC: romout <= 16'h4E55;
324
32'hFFFF12EE: romout <= 16'hFFE8;
325
32'hFFFF12F0: romout <= 16'h41ED;
326
32'hFFFF12F2: romout <= 16'hFFFA;
327
32'hFFFF12F4: romout <= 16'h43ED;
328
32'hFFFF12F6: romout <= 16'hFFFC;
329
32'hFFFF12F8: romout <= 16'h3B7C;
330
32'hFFFF12FA: romout <= 16'h0000;
331
32'hFFFF12FC: romout <= 16'hFFFA;
332
32'hFFFF12FE: romout <= 16'h3B7C;
333
32'hFFFF1300: romout <= 16'h0002;
334
32'hFFFF1302: romout <= 16'hFFF8;
335
32'hFFFF1304: romout <= 16'h7048;
336
32'hFFFF1306: romout <= 16'h4E41;
337
32'hFFFF1308: romout <= 16'h5279;
338
32'hFFFF130A: romout <= 16'hFFD0;
339
32'hFFFF130C: romout <= 16'h0064;
340
32'hFFFF130E: romout <= 16'h4E40;
341
32'hFFFF1310: romout <= 16'h60F6;
342
32'hFFFF1312: romout <= 16'h48E7;
343
32'hFFFF1314: romout <= 16'h8080;
344
32'hFFFF1316: romout <= 16'h41F9;
345
32'hFFFF1318: romout <= 16'hFFFF;
346
32'hFFFF131A: romout <= 16'h1330;
347
32'hFFFF131C: romout <= 16'h0280;
348
32'hFFFF131E: romout <= 16'h0000;
349
32'hFFFF1320: romout <= 16'h00FF;
350
32'hFFFF1322: romout <= 16'hE580;
351
32'hFFFF1324: romout <= 16'h2070;
352
32'hFFFF1326: romout <= 16'h0000;
353
32'hFFFF1328: romout <= 16'h4E90;
354
32'hFFFF132A: romout <= 16'h4CDF;
355
32'hFFFF132C: romout <= 16'h0101;
356
32'hFFFF132E: romout <= 16'h4E73;
357
32'hFFFF1330: romout <= 16'hFFFF;
358
32'hFFFF1332: romout <= 16'h189A;
359
32'hFFFF1334: romout <= 16'hFFFF;
360
32'hFFFF1336: romout <= 16'h187A;
361
32'hFFFF1338: romout <= 16'hFFFF;
362
32'hFFFF133A: romout <= 16'h149C;
363
32'hFFFF133C: romout <= 16'hFFFF;
364
32'hFFFF133E: romout <= 16'h19A0;
365
32'hFFFF1340: romout <= 16'hFFFF;
366
32'hFFFF1342: romout <= 16'h149C;
367
32'hFFFF1344: romout <= 16'hFFFF;
368
32'hFFFF1346: romout <= 16'h1658;
369
32'hFFFF1348: romout <= 16'hFFFF;
370
32'hFFFF134A: romout <= 16'h1732;
371
32'hFFFF134C: romout <= 16'hFFFF;
372
32'hFFFF134E: romout <= 16'h16DA;
373
32'hFFFF1350: romout <= 16'hFFFF;
374
32'hFFFF1352: romout <= 16'h149C;
375
32'hFFFF1354: romout <= 16'hFFFF;
376
32'hFFFF1356: romout <= 16'h149C;
377
32'hFFFF1358: romout <= 16'hFFFF;
378
32'hFFFF135A: romout <= 16'h149C;
379
32'hFFFF135C: romout <= 16'hFFFF;
380
32'hFFFF135E: romout <= 16'h18A2;
381
32'hFFFF1360: romout <= 16'hFFFF;
382
32'hFFFF1362: romout <= 16'h162C;
383
32'hFFFF1364: romout <= 16'hFFFF;
384
32'hFFFF1366: romout <= 16'h1872;
385
32'hFFFF1368: romout <= 16'hFFFF;
386
32'hFFFF136A: romout <= 16'h1858;
387
32'hFFFF136C: romout <= 16'hFFFF;
388
32'hFFFF136E: romout <= 16'h149C;
389
32'hFFFF1370: romout <= 16'hFFFF;
390
32'hFFFF1372: romout <= 16'h149C;
391
32'hFFFF1374: romout <= 16'hFFFF;
392
32'hFFFF1376: romout <= 16'h149C;
393
32'hFFFF1378: romout <= 16'hFFFF;
394
32'hFFFF137A: romout <= 16'h149C;
395
32'hFFFF137C: romout <= 16'hFFFF;
396
32'hFFFF137E: romout <= 16'h149C;
397
32'hFFFF1380: romout <= 16'hFFFF;
398
32'hFFFF1382: romout <= 16'h198C;
399
32'hFFFF1384: romout <= 16'hFFFF;
400
32'hFFFF1386: romout <= 16'h149C;
401
32'hFFFF1388: romout <= 16'hFFFF;
402
32'hFFFF138A: romout <= 16'h149C;
403
32'hFFFF138C: romout <= 16'hFFFF;
404
32'hFFFF138E: romout <= 16'h149C;
405
32'hFFFF1390: romout <= 16'hFFFF;
406
32'hFFFF1392: romout <= 16'h149C;
407
32'hFFFF1394: romout <= 16'hFFFF;
408
32'hFFFF1396: romout <= 16'h149C;
409
32'hFFFF1398: romout <= 16'hFFFF;
410
32'hFFFF139A: romout <= 16'h149C;
411
32'hFFFF139C: romout <= 16'hFFFF;
412
32'hFFFF139E: romout <= 16'h149C;
413
32'hFFFF13A0: romout <= 16'hFFFF;
414
32'hFFFF13A2: romout <= 16'h149C;
415
32'hFFFF13A4: romout <= 16'hFFFF;
416
32'hFFFF13A6: romout <= 16'h149C;
417
32'hFFFF13A8: romout <= 16'hFFFF;
418
32'hFFFF13AA: romout <= 16'h149C;
419
32'hFFFF13AC: romout <= 16'hFFFF;
420
32'hFFFF13AE: romout <= 16'h149C;
421
32'hFFFF13B0: romout <= 16'hFFFF;
422
32'hFFFF13B2: romout <= 16'h149C;
423
32'hFFFF13B4: romout <= 16'hFFFF;
424
32'hFFFF13B6: romout <= 16'h149C;
425
32'hFFFF13B8: romout <= 16'hFFFF;
426
32'hFFFF13BA: romout <= 16'h149C;
427
32'hFFFF13BC: romout <= 16'hFFFF;
428
32'hFFFF13BE: romout <= 16'h149C;
429
32'hFFFF13C0: romout <= 16'hFFFF;
430
32'hFFFF13C2: romout <= 16'h149C;
431
32'hFFFF13C4: romout <= 16'hFFFF;
432
32'hFFFF13C6: romout <= 16'h149C;
433
32'hFFFF13C8: romout <= 16'hFFFF;
434
32'hFFFF13CA: romout <= 16'h149C;
435
32'hFFFF13CC: romout <= 16'hFFFF;
436
32'hFFFF13CE: romout <= 16'h149C;
437
32'hFFFF13D0: romout <= 16'hFFFF;
438
32'hFFFF13D2: romout <= 16'h149C;
439
32'hFFFF13D4: romout <= 16'hFFFF;
440
32'hFFFF13D6: romout <= 16'h149C;
441
32'hFFFF13D8: romout <= 16'hFFFF;
442
32'hFFFF13DA: romout <= 16'h149C;
443
32'hFFFF13DC: romout <= 16'hFFFF;
444
32'hFFFF13DE: romout <= 16'h149C;
445
32'hFFFF13E0: romout <= 16'hFFFF;
446
32'hFFFF13E2: romout <= 16'h149C;
447
32'hFFFF13E4: romout <= 16'hFFFF;
448
32'hFFFF13E6: romout <= 16'h149C;
449
32'hFFFF13E8: romout <= 16'hFFFF;
450
32'hFFFF13EA: romout <= 16'h149C;
451
32'hFFFF13EC: romout <= 16'hFFFF;
452
32'hFFFF13EE: romout <= 16'h149C;
453
32'hFFFF13F0: romout <= 16'hFFFF;
454
32'hFFFF13F2: romout <= 16'h149C;
455
32'hFFFF13F4: romout <= 16'hFFFF;
456
32'hFFFF13F6: romout <= 16'h149C;
457
32'hFFFF13F8: romout <= 16'hFFFF;
458
32'hFFFF13FA: romout <= 16'h149C;
459
32'hFFFF13FC: romout <= 16'hFFFF;
460
32'hFFFF13FE: romout <= 16'h149C;
461
32'hFFFF1400: romout <= 16'hFFFF;
462
32'hFFFF1402: romout <= 16'h149C;
463
32'hFFFF1404: romout <= 16'hFFFF;
464
32'hFFFF1406: romout <= 16'h149C;
465
32'hFFFF1408: romout <= 16'hFFFF;
466
32'hFFFF140A: romout <= 16'h149C;
467
32'hFFFF140C: romout <= 16'hFFFF;
468
32'hFFFF140E: romout <= 16'h149C;
469
32'hFFFF1410: romout <= 16'hFFFF;
470
32'hFFFF1412: romout <= 16'h149C;
471
32'hFFFF1414: romout <= 16'hFFFF;
472
32'hFFFF1416: romout <= 16'h149C;
473
32'hFFFF1418: romout <= 16'hFFFF;
474
32'hFFFF141A: romout <= 16'h149C;
475
32'hFFFF141C: romout <= 16'hFFFF;
476
32'hFFFF141E: romout <= 16'h149C;
477
32'hFFFF1420: romout <= 16'hFFFF;
478
32'hFFFF1422: romout <= 16'h149C;
479
32'hFFFF1424: romout <= 16'hFFFF;
480
32'hFFFF1426: romout <= 16'h149C;
481
32'hFFFF1428: romout <= 16'hFFFF;
482
32'hFFFF142A: romout <= 16'h149C;
483
32'hFFFF142C: romout <= 16'hFFFF;
484
32'hFFFF142E: romout <= 16'h149C;
485
32'hFFFF1430: romout <= 16'hFFFF;
486
32'hFFFF1432: romout <= 16'h149C;
487
32'hFFFF1434: romout <= 16'hFFFF;
488
32'hFFFF1436: romout <= 16'h149C;
489
32'hFFFF1438: romout <= 16'hFFFF;
490
32'hFFFF143A: romout <= 16'h149C;
491
32'hFFFF143C: romout <= 16'hFFFF;
492
32'hFFFF143E: romout <= 16'h149C;
493
32'hFFFF1440: romout <= 16'hFFFF;
494
32'hFFFF1442: romout <= 16'h149C;
495
32'hFFFF1444: romout <= 16'hFFFF;
496
32'hFFFF1446: romout <= 16'h149C;
497
32'hFFFF1448: romout <= 16'hFFFF;
498
32'hFFFF144A: romout <= 16'h149C;
499
32'hFFFF144C: romout <= 16'hFFFF;
500
32'hFFFF144E: romout <= 16'h149C;
501
32'hFFFF1450: romout <= 16'hFFFF;
502
32'hFFFF1452: romout <= 16'h149C;
503
32'hFFFF1454: romout <= 16'hFFFF;
504
32'hFFFF1456: romout <= 16'h149C;
505
32'hFFFF1458: romout <= 16'hFFFF;
506
32'hFFFF145A: romout <= 16'h149C;
507
32'hFFFF145C: romout <= 16'hFFFF;
508
32'hFFFF145E: romout <= 16'h149C;
509
32'hFFFF1460: romout <= 16'hFFFF;
510
32'hFFFF1462: romout <= 16'h149C;
511
32'hFFFF1464: romout <= 16'hFFFF;
512
32'hFFFF1466: romout <= 16'h149C;
513
32'hFFFF1468: romout <= 16'hFFFF;
514
32'hFFFF146A: romout <= 16'h149C;
515
32'hFFFF146C: romout <= 16'hFFFF;
516
32'hFFFF146E: romout <= 16'h149C;
517
32'hFFFF1470: romout <= 16'hFFFF;
518
32'hFFFF1472: romout <= 16'h149E;
519
32'hFFFF1474: romout <= 16'hFFFF;
520
32'hFFFF1476: romout <= 16'h14B4;
521
32'hFFFF1478: romout <= 16'hFFFF;
522
32'hFFFF147A: romout <= 16'h14FE;
523
32'hFFFF147C: romout <= 16'hFFFF;
524
32'hFFFF147E: romout <= 16'h149C;
525
32'hFFFF1480: romout <= 16'hFFFF;
526
32'hFFFF1482: romout <= 16'h1528;
527
32'hFFFF1484: romout <= 16'hFFFF;
528
32'hFFFF1486: romout <= 16'h159A;
529
32'hFFFF1488: romout <= 16'hFFFF;
530
32'hFFFF148A: romout <= 16'h15B4;
531
32'hFFFF148C: romout <= 16'hFFFF;
532
32'hFFFF148E: romout <= 16'h15FA;
533
32'hFFFF1490: romout <= 16'hFFFF;
534
32'hFFFF1492: romout <= 16'h149C;
535
32'hFFFF1494: romout <= 16'hFFFF;
536
32'hFFFF1496: romout <= 16'h149C;
537
32'hFFFF1498: romout <= 16'hFFFF;
538
32'hFFFF149A: romout <= 16'h15BE;
539
32'hFFFF149C: romout <= 16'h4E75;
540
32'hFFFF149E: romout <= 16'h48E7;
541
32'hFFFF14A0: romout <= 16'hC000;
542
32'hFFFF14A2: romout <= 16'h21C1;
543
32'hFFFF14A4: romout <= 16'h0420;
544
32'hFFFF14A6: romout <= 16'h6100;
545
32'hFFFF14A8: romout <= 16'h0022;
546
32'hFFFF14AA: romout <= 16'h11C1;
547
32'hFFFF14AC: romout <= 16'h0424;
548
32'hFFFF14AE: romout <= 16'h4CDF;
549
32'hFFFF14B0: romout <= 16'h0003;
550
32'hFFFF14B2: romout <= 16'h4E75;
551
32'hFFFF14B4: romout <= 16'h48E7;
552
32'hFFFF14B6: romout <= 16'hC000;
553
32'hFFFF14B8: romout <= 16'h21C1;
554
32'hFFFF14BA: romout <= 16'h0428;
555
32'hFFFF14BC: romout <= 16'h6100;
556
32'hFFFF14BE: romout <= 16'h000C;
557
32'hFFFF14C0: romout <= 16'h11C1;
558
32'hFFFF14C2: romout <= 16'h042C;
559
32'hFFFF14C4: romout <= 16'h4CDF;
560
32'hFFFF14C6: romout <= 16'h0003;
561
32'hFFFF14C8: romout <= 16'h4E75;
562
32'hFFFF14CA: romout <= 16'h48E7;
563
32'hFFFF14CC: romout <= 16'hA000;
564
32'hFFFF14CE: romout <= 16'h4282;
565
32'hFFFF14D0: romout <= 16'hEC99;
566
32'hFFFF14D2: romout <= 16'h2001;
567
32'hFFFF14D4: romout <= 16'h0200;
568
32'hFFFF14D6: romout <= 16'h0003;
569
32'hFFFF14D8: romout <= 16'h1400;
570
32'hFFFF14DA: romout <= 16'hE499;
571
32'hFFFF14DC: romout <= 16'hEA99;
572
32'hFFFF14DE: romout <= 16'h1001;
573
32'hFFFF14E0: romout <= 16'h0200;
574
32'hFFFF14E2: romout <= 16'h0007;
575
32'hFFFF14E4: romout <= 16'hE540;
576
32'hFFFF14E6: romout <= 16'h8400;
577
32'hFFFF14E8: romout <= 16'hE699;
578
32'hFFFF14EA: romout <= 16'hEA99;
579
32'hFFFF14EC: romout <= 16'h1001;
580
32'hFFFF14EE: romout <= 16'h0200;
581
32'hFFFF14F0: romout <= 16'h0007;
582
32'hFFFF14F2: romout <= 16'hEB40;
583
32'hFFFF14F4: romout <= 16'h8400;
584
32'hFFFF14F6: romout <= 16'h2202;
585
32'hFFFF14F8: romout <= 16'h4CDF;
586
32'hFFFF14FA: romout <= 16'h0005;
587
32'hFFFF14FC: romout <= 16'h4E75;
588
32'hFFFF14FE: romout <= 16'h48E7;
589
32'hFFFF1500: romout <= 16'h6080;
590
32'hFFFF1502: romout <= 16'hC4FC;
591
32'hFFFF1504: romout <= 16'h00D0;
592
32'hFFFF1506: romout <= 16'h0282;
593
32'hFFFF1508: romout <= 16'h0000;
594
32'hFFFF150A: romout <= 16'hFFFF;
595
32'hFFFF150C: romout <= 16'hE382;
596
32'hFFFF150E: romout <= 16'h0281;
597
32'hFFFF1510: romout <= 16'h0000;
598
32'hFFFF1512: romout <= 16'h01FF;
599
32'hFFFF1514: romout <= 16'hD481;
600
32'hFFFF1516: romout <= 16'h0682;
601
32'hFFFF1518: romout <= 16'h0002;
602
32'hFFFF151A: romout <= 16'h0000;
603
32'hFFFF151C: romout <= 16'h2042;
604
32'hFFFF151E: romout <= 16'h10B8;
605
32'hFFFF1520: romout <= 16'h0424;
606
32'hFFFF1522: romout <= 16'h4CDF;
607
32'hFFFF1524: romout <= 16'h0106;
608
32'hFFFF1526: romout <= 16'h4E75;
609
32'hFFFF1528: romout <= 16'h48E7;
610
32'hFFFF152A: romout <= 16'hFF30;
611
32'hFFFF152C: romout <= 16'h0281;
612
32'hFFFF152E: romout <= 16'h0000;
613
32'hFFFF1530: romout <= 16'h01FF;
614
32'hFFFF1532: romout <= 16'h0282;
615
32'hFFFF1534: romout <= 16'h0000;
616
32'hFFFF1536: romout <= 16'h01FF;
617
32'hFFFF1538: romout <= 16'h0283;
618
32'hFFFF153A: romout <= 16'h0000;
619
32'hFFFF153C: romout <= 16'h01FF;
620
32'hFFFF153E: romout <= 16'h0284;
621
32'hFFFF1540: romout <= 16'h0000;
622
32'hFFFF1542: romout <= 16'h01FF;
623
32'hFFFF1544: romout <= 16'h31C3;
624
32'hFFFF1546: romout <= 16'h0430;
625
32'hFFFF1548: romout <= 16'h31C4;
626
32'hFFFF154A: romout <= 16'h0432;
627
32'hFFFF154C: romout <= 16'h2A01;
628
32'hFFFF154E: romout <= 16'h9A83;
629
32'hFFFF1550: romout <= 16'h6A02;
630
32'hFFFF1552: romout <= 16'h4485;
631
32'hFFFF1554: romout <= 16'h2C02;
632
32'hFFFF1556: romout <= 16'h9C84;
633
32'hFFFF1558: romout <= 16'h6A02;
634
32'hFFFF155A: romout <= 16'h4486;
635
32'hFFFF155C: romout <= 16'h7001;
636
32'hFFFF155E: romout <= 16'h7E01;
637
32'hFFFF1560: romout <= 16'hB283;
638
32'hFFFF1562: romout <= 16'h6502;
639
32'hFFFF1564: romout <= 16'h4480;
640
32'hFFFF1566: romout <= 16'hB484;
641
32'hFFFF1568: romout <= 16'h6502;
642
32'hFFFF156A: romout <= 16'h4487;
643
32'hFFFF156C: romout <= 16'h2445;
644
32'hFFFF156E: romout <= 16'h95C6;
645
32'hFFFF1570: romout <= 16'h4486;
646
32'hFFFF1572: romout <= 16'h6100;
647
32'hFFFF1574: romout <= 16'hFF8A;
648
32'hFFFF1576: romout <= 16'hB681;
649
32'hFFFF1578: romout <= 16'h6604;
650
32'hFFFF157A: romout <= 16'hB882;
651
32'hFFFF157C: romout <= 16'h6716;
652
32'hFFFF157E: romout <= 16'h264A;
653
32'hFFFF1580: romout <= 16'hD7CB;
654
32'hFFFF1582: romout <= 16'hB7C6;
655
32'hFFFF1584: romout <= 16'h6F04;
656
32'hFFFF1586: romout <= 16'hD5C6;
657
32'hFFFF1588: romout <= 16'hD280;
658
32'hFFFF158A: romout <= 16'hB7C5;
659
32'hFFFF158C: romout <= 16'h6C04;
660
32'hFFFF158E: romout <= 16'hD5C5;
661
32'hFFFF1590: romout <= 16'hD487;
662
32'hFFFF1592: romout <= 16'h60DE;
663
32'hFFFF1594: romout <= 16'h4CDF;
664
32'hFFFF1596: romout <= 16'h0CFF;
665
32'hFFFF1598: romout <= 16'h4E75;
666
32'hFFFF159A: romout <= 16'h48E7;
667
32'hFFFF159C: romout <= 16'h7800;
668
32'hFFFF159E: romout <= 16'h3601;
669
32'hFFFF15A0: romout <= 16'h3802;
670
32'hFFFF15A2: romout <= 16'h3238;
671
32'hFFFF15A4: romout <= 16'h0430;
672
32'hFFFF15A6: romout <= 16'h3438;
673
32'hFFFF15A8: romout <= 16'h0432;
674
32'hFFFF15AA: romout <= 16'h6100;
675
32'hFFFF15AC: romout <= 16'hFF7C;
676
32'hFFFF15AE: romout <= 16'h4CDF;
677
32'hFFFF15B0: romout <= 16'h001E;
678
32'hFFFF15B2: romout <= 16'h4E75;
679
32'hFFFF15B4: romout <= 16'h31C1;
680
32'hFFFF15B6: romout <= 16'h0430;
681
32'hFFFF15B8: romout <= 16'h31C2;
682
32'hFFFF15BA: romout <= 16'h0432;
683
32'hFFFF15BC: romout <= 16'h4E75;
684
32'hFFFF15BE: romout <= 16'h48E7;
685
32'hFFFF15C0: romout <= 16'hFF00;
686
32'hFFFF15C2: romout <= 16'h3001;
687
32'hFFFF15C4: romout <= 16'h3E02;
688
32'hFFFF15C6: romout <= 16'h3A03;
689
32'hFFFF15C8: romout <= 16'h3C04;
690
32'hFFFF15CA: romout <= 16'h3802;
691
32'hFFFF15CC: romout <= 16'h6100;
692
32'hFFFF15CE: romout <= 16'hFF5A;
693
32'hFFFF15D0: romout <= 16'h3203;
694
32'hFFFF15D2: romout <= 16'h3404;
695
32'hFFFF15D4: romout <= 16'h3605;
696
32'hFFFF15D6: romout <= 16'h3806;
697
32'hFFFF15D8: romout <= 16'h6100;
698
32'hFFFF15DA: romout <= 16'hFF4E;
699
32'hFFFF15DC: romout <= 16'h3203;
700
32'hFFFF15DE: romout <= 16'h3404;
701
32'hFFFF15E0: romout <= 16'h3600;
702
32'hFFFF15E2: romout <= 16'h3806;
703
32'hFFFF15E4: romout <= 16'h6100;
704
32'hFFFF15E6: romout <= 16'hFF42;
705
32'hFFFF15E8: romout <= 16'h3203;
706
32'hFFFF15EA: romout <= 16'h3404;
707
32'hFFFF15EC: romout <= 16'h3600;
708
32'hFFFF15EE: romout <= 16'h3807;
709
32'hFFFF15F0: romout <= 16'h6100;
710
32'hFFFF15F2: romout <= 16'hFF36;
711
32'hFFFF15F4: romout <= 16'h4CDF;
712
32'hFFFF15F6: romout <= 16'h00FF;
713
32'hFFFF15F8: romout <= 16'h4E75;
714
32'hFFFF15FA: romout <= 16'h48E7;
715
32'hFFFF15FC: romout <= 16'h7800;
716
32'hFFFF15FE: romout <= 16'h3F38;
717
32'hFFFF1600: romout <= 16'h0424;
718
32'hFFFF1602: romout <= 16'h6100;
719
32'hFFFF1604: romout <= 16'hFFBA;
720
32'hFFFF1606: romout <= 16'h31F8;
721
32'hFFFF1608: romout <= 16'h042C;
722
32'hFFFF160A: romout <= 16'h0424;
723
32'hFFFF160C: romout <= 16'hB641;
724
32'hFFFF160E: romout <= 16'h6504;
725
32'hFFFF1610: romout <= 16'hB842;
726
32'hFFFF1612: romout <= 16'h640E;
727
32'hFFFF1614: romout <= 16'h5241;
728
32'hFFFF1616: romout <= 16'h5242;
729
32'hFFFF1618: romout <= 16'h5343;
730
32'hFFFF161A: romout <= 16'h5344;
731
32'hFFFF161C: romout <= 16'h6100;
732
32'hFFFF161E: romout <= 16'hFFA0;
733
32'hFFFF1620: romout <= 16'h60EA;
734
32'hFFFF1622: romout <= 16'h31DF;
735
32'hFFFF1624: romout <= 16'h0424;
736
32'hFFFF1626: romout <= 16'h4CDF;
737
32'hFFFF1628: romout <= 16'h001E;
738
32'hFFFF162A: romout <= 16'h4E75;
739
32'hFFFF162C: romout <= 16'h11C1;
740
32'hFFFF162E: romout <= 16'h041C;
741
32'hFFFF1630: romout <= 16'h4E75;
742
32'hFFFF1632: romout <= 16'h4E71;
743
32'hFFFF1634: romout <= 16'h4E71;
744
32'hFFFF1636: romout <= 16'h4E71;
745
32'hFFFF1638: romout <= 16'h4E71;
746
32'hFFFF163A: romout <= 16'h4E71;
747
32'hFFFF163C: romout <= 16'h4E71;
748
32'hFFFF163E: romout <= 16'h4E71;
749
32'hFFFF1640: romout <= 16'h4E71;
750
32'hFFFF1642: romout <= 16'h4E71;
751
32'hFFFF1644: romout <= 16'h4E71;
752
32'hFFFF1646: romout <= 16'h4E71;
753
32'hFFFF1648: romout <= 16'h4E71;
754
32'hFFFF164A: romout <= 16'h4E71;
755
32'hFFFF164C: romout <= 16'h4E71;
756
32'hFFFF164E: romout <= 16'h4E71;
757
32'hFFFF1650: romout <= 16'h4E71;
758
32'hFFFF1652: romout <= 16'h4E71;
759
32'hFFFF1654: romout <= 16'h4E71;
760
32'hFFFF1656: romout <= 16'h4E71;
761
32'hFFFF1658: romout <= 16'h3239;
762
32'hFFFF165A: romout <= 16'hFFDC;
763
32'hFFFF165C: romout <= 16'h0000;
764
32'hFFFF165E: romout <= 16'h6AF8;
765
32'hFFFF1660: romout <= 16'h4279;
766
32'hFFFF1662: romout <= 16'hFFDC;
767
32'hFFFF1664: romout <= 16'h0002;
768
32'hFFFF1666: romout <= 16'h0241;
769
32'hFFFF1668: romout <= 16'h00FF;
770
32'hFFFF166A: romout <= 16'h0C38;
771
32'hFFFF166C: romout <= 16'h0000;
772
32'hFFFF166E: romout <= 16'h041C;
773
32'hFFFF1670: romout <= 16'h670C;
774
32'hFFFF1672: romout <= 16'h0C01;
775
32'hFFFF1674: romout <= 16'h000D;
776
32'hFFFF1676: romout <= 16'h6774;
777
32'hFFFF1678: romout <= 16'h4EB9;
778
32'hFFFF167A: romout <= 16'hFFFF;
779
32'hFFFF167C: romout <= 16'h1732;
780
32'hFFFF167E: romout <= 16'h4E75;
781
32'hFFFF1680: romout <= 16'h3F01;
782
32'hFFFF1682: romout <= 16'h3239;
783
32'hFFFF1684: romout <= 16'hFFDC;
784
32'hFFFF1686: romout <= 16'h0000;
785
32'hFFFF1688: romout <= 16'h6A08;
786
32'hFFFF168A: romout <= 16'h321F;
787
32'hFFFF168C: romout <= 16'h003C;
788
32'hFFFF168E: romout <= 16'h0001;
789
32'hFFFF1690: romout <= 16'h4E75;
790
32'hFFFF1692: romout <= 16'h321F;
791
32'hFFFF1694: romout <= 16'h023C;
792
32'hFFFF1696: romout <= 16'h00FE;
793
32'hFFFF1698: romout <= 16'h4E75;
794
32'hFFFF169A: romout <= 16'h0839;
795
32'hFFFF169C: romout <= 16'h0000;
796
32'hFFFF169E: romout <= 16'hFFDC;
797
32'hFFFF16A0: romout <= 16'h0A01;
798
32'hFFFF16A2: romout <= 16'h6706;
799
32'hFFFF16A4: romout <= 16'h003C;
800
32'hFFFF16A6: romout <= 16'h0001;
801
32'hFFFF16A8: romout <= 16'h4E75;
802
32'hFFFF16AA: romout <= 16'h023C;
803
32'hFFFF16AC: romout <= 16'h00FE;
804
32'hFFFF16AE: romout <= 16'h4E75;
805
32'hFFFF16B0: romout <= 16'h4280;
806
32'hFFFF16B2: romout <= 16'h3039;
807
32'hFFFF16B4: romout <= 16'hFFDC;
808
32'hFFFF16B6: romout <= 16'h0000;
809
32'hFFFF16B8: romout <= 16'h6A12;
810
32'hFFFF16BA: romout <= 16'h0240;
811
32'hFFFF16BC: romout <= 16'h00FF;
812
32'hFFFF16BE: romout <= 16'h4279;
813
32'hFFFF16C0: romout <= 16'hFFDC;
814
32'hFFFF16C2: romout <= 16'h0002;
815
32'hFFFF16C4: romout <= 16'h41F8;
816
32'hFFFF16C6: romout <= 16'h0460;
817
32'hFFFF16C8: romout <= 16'h2080;
818
32'hFFFF16CA: romout <= 16'h4E75;
819
32'hFFFF16CC: romout <= 16'h41F8;
820
32'hFFFF16CE: romout <= 16'h0460;
821
32'hFFFF16D0: romout <= 16'h4290;
822
32'hFFFF16D2: romout <= 16'h4E75;
823
32'hFFFF16D4: romout <= 16'h6100;
824
32'hFFFF16D6: romout <= 16'h005C;
825
32'hFFFF16D8: romout <= 16'h4E75;
826
32'hFFFF16DA: romout <= 16'h3239;
827
32'hFFFF16DC: romout <= 16'hFFDC;
828
32'hFFFF16DE: romout <= 16'h0000;
829
32'hFFFF16E0: romout <= 16'h6A06;
830
32'hFFFF16E2: romout <= 16'h123C;
831
32'hFFFF16E4: romout <= 16'h0001;
832
32'hFFFF16E6: romout <= 16'h4E75;
833
32'hFFFF16E8: romout <= 16'h4201;
834
32'hFFFF16EA: romout <= 16'h4E75;
835
32'hFFFF16EC: romout <= 16'h2F01;
836
32'hFFFF16EE: romout <= 16'h123C;
837
32'hFFFF16F0: romout <= 16'h000D;
838
32'hFFFF16F2: romout <= 16'h4EB9;
839
32'hFFFF16F4: romout <= 16'hFFFF;
840
32'hFFFF16F6: romout <= 16'h1732;
841
32'hFFFF16F8: romout <= 16'h123C;
842
32'hFFFF16FA: romout <= 16'h000A;
843
32'hFFFF16FC: romout <= 16'h4EB9;
844
32'hFFFF16FE: romout <= 16'hFFFF;
845
32'hFFFF1700: romout <= 16'h1732;
846
32'hFFFF1702: romout <= 16'h221F;
847
32'hFFFF1704: romout <= 16'h4E75;
848
32'hFFFF1706: romout <= 16'h3038;
849
32'hFFFF1708: romout <= 16'h0418;
850
32'hFFFF170A: romout <= 16'h0240;
851
32'hFFFF170C: romout <= 16'h007F;
852
32'hFFFF170E: romout <= 16'hC0F9;
853
32'hFFFF1710: romout <= 16'hFFDA;
854
32'hFFFF1712: romout <= 16'h0000;
855
32'hFFFF1714: romout <= 16'h3438;
856
32'hFFFF1716: romout <= 16'h041A;
857
32'hFFFF1718: romout <= 16'h0242;
858
32'hFFFF171A: romout <= 16'h00FF;
859
32'hFFFF171C: romout <= 16'hD042;
860
32'hFFFF171E: romout <= 16'hE340;
861
32'hFFFF1720: romout <= 16'h0680;
862
32'hFFFF1722: romout <= 16'hFFD0;
863
32'hFFFF1724: romout <= 16'h0000;
864
32'hFFFF1726: romout <= 16'h2040;
865
32'hFFFF1728: romout <= 16'hE288;
866
32'hFFFF172A: romout <= 16'h33C0;
867
32'hFFFF172C: romout <= 16'hFFDA;
868
32'hFFFF172E: romout <= 16'h0016;
869
32'hFFFF1730: romout <= 16'h4E75;
870
32'hFFFF1732: romout <= 16'h0C01;
871
32'hFFFF1734: romout <= 16'h000D;
872
32'hFFFF1736: romout <= 16'h6606;
873
32'hFFFF1738: romout <= 16'h4278;
874
32'hFFFF173A: romout <= 16'h041A;
875
32'hFFFF173C: romout <= 16'h4E75;
876
32'hFFFF173E: romout <= 16'h0C01;
877
32'hFFFF1740: romout <= 16'h0091;
878
32'hFFFF1742: romout <= 16'h660E;
879
32'hFFFF1744: romout <= 16'h0C78;
880
32'hFFFF1746: romout <= 16'h0033;
881
32'hFFFF1748: romout <= 16'h041A;
882
32'hFFFF174A: romout <= 16'h6704;
883
32'hFFFF174C: romout <= 16'h5278;
884
32'hFFFF174E: romout <= 16'h041A;
885
32'hFFFF1750: romout <= 16'h4E75;
886
32'hFFFF1752: romout <= 16'h0C01;
887
32'hFFFF1754: romout <= 16'h0090;
888
32'hFFFF1756: romout <= 16'h660E;
889
32'hFFFF1758: romout <= 16'h0C78;
890
32'hFFFF175A: romout <= 16'h0000;
891
32'hFFFF175C: romout <= 16'h0418;
892
32'hFFFF175E: romout <= 16'h67F0;
893
32'hFFFF1760: romout <= 16'h5378;
894
32'hFFFF1762: romout <= 16'h0418;
895
32'hFFFF1764: romout <= 16'h4E75;
896
32'hFFFF1766: romout <= 16'h0C01;
897
32'hFFFF1768: romout <= 16'h0093;
898
32'hFFFF176A: romout <= 16'h660E;
899
32'hFFFF176C: romout <= 16'h0C78;
900
32'hFFFF176E: romout <= 16'h0000;
901
32'hFFFF1770: romout <= 16'h041A;
902
32'hFFFF1772: romout <= 16'h67DC;
903
32'hFFFF1774: romout <= 16'h5378;
904
32'hFFFF1776: romout <= 16'h041A;
905
32'hFFFF1778: romout <= 16'h4E75;
906
32'hFFFF177A: romout <= 16'h0C01;
907
32'hFFFF177C: romout <= 16'h0092;
908
32'hFFFF177E: romout <= 16'h660E;
909
32'hFFFF1780: romout <= 16'h0C78;
910
32'hFFFF1782: romout <= 16'h001E;
911
32'hFFFF1784: romout <= 16'h0418;
912
32'hFFFF1786: romout <= 16'h67C8;
913
32'hFFFF1788: romout <= 16'h5278;
914
32'hFFFF178A: romout <= 16'h0418;
915
32'hFFFF178C: romout <= 16'h4E75;
916
32'hFFFF178E: romout <= 16'h0C01;
917
32'hFFFF1790: romout <= 16'h0094;
918
32'hFFFF1792: romout <= 16'h6614;
919
32'hFFFF1794: romout <= 16'h0C78;
920
32'hFFFF1796: romout <= 16'h0000;
921
32'hFFFF1798: romout <= 16'h041A;
922
32'hFFFF179A: romout <= 16'h6706;
923
32'hFFFF179C: romout <= 16'h4278;
924
32'hFFFF179E: romout <= 16'h041A;
925
32'hFFFF17A0: romout <= 16'h4E75;
926
32'hFFFF17A2: romout <= 16'h4278;
927
32'hFFFF17A4: romout <= 16'h0418;
928
32'hFFFF17A6: romout <= 16'h4E75;
929
32'hFFFF17A8: romout <= 16'h48E7;
930
32'hFFFF17AA: romout <= 16'hE080;
931
32'hFFFF17AC: romout <= 16'h0C01;
932
32'hFFFF17AE: romout <= 16'h0099;
933
32'hFFFF17B0: romout <= 16'h660A;
934
32'hFFFF17B2: romout <= 16'h6100;
935
32'hFFFF17B4: romout <= 16'hFF52;
936
32'hFFFF17B6: romout <= 16'h3038;
937
32'hFFFF17B8: romout <= 16'h041A;
938
32'hFFFF17BA: romout <= 16'h601A;
939
32'hFFFF17BC: romout <= 16'h0C01;
940
32'hFFFF17BE: romout <= 16'h0008;
941
32'hFFFF17C0: romout <= 16'h662C;
942
32'hFFFF17C2: romout <= 16'h0C78;
943
32'hFFFF17C4: romout <= 16'h0000;
944
32'hFFFF17C6: romout <= 16'h041A;
945
32'hFFFF17C8: romout <= 16'h6742;
946
32'hFFFF17CA: romout <= 16'h5378;
947
32'hFFFF17CC: romout <= 16'h041A;
948
32'hFFFF17CE: romout <= 16'h6100;
949
32'hFFFF17D0: romout <= 16'hFF36;
950
32'hFFFF17D2: romout <= 16'h3038;
951
32'hFFFF17D4: romout <= 16'h041A;
952
32'hFFFF17D6: romout <= 16'h30E8;
953
32'hFFFF17D8: romout <= 16'h0002;
954
32'hFFFF17DA: romout <= 16'h5240;
955
32'hFFFF17DC: romout <= 16'hB079;
956
32'hFFFF17DE: romout <= 16'hFFDA;
957
32'hFFFF17E0: romout <= 16'h0000;
958
32'hFFFF17E2: romout <= 16'h65F2;
959
32'hFFFF17E4: romout <= 16'h303C;
960
32'hFFFF17E6: romout <= 16'h0020;
961
32'hFFFF17E8: romout <= 16'h3140;
962
32'hFFFF17EA: romout <= 16'hFFFE;
963
32'hFFFF17EC: romout <= 16'h601E;
964
32'hFFFF17EE: romout <= 16'h0C01;
965
32'hFFFF17F0: romout <= 16'h000A;
966
32'hFFFF17F2: romout <= 16'h6714;
967
32'hFFFF17F4: romout <= 16'h6100;
968
32'hFFFF17F6: romout <= 16'hFF10;
969
32'hFFFF17F8: romout <= 16'h6100;
970
32'hFFFF17FA: romout <= 16'h01B8;
971
32'hFFFF17FC: romout <= 16'h30C1;
972
32'hFFFF17FE: romout <= 16'h6100;
973
32'hFFFF1800: romout <= 16'h0012;
974
32'hFFFF1802: romout <= 16'h4CDF;
975
32'hFFFF1804: romout <= 16'h0107;
976
32'hFFFF1806: romout <= 16'h4E75;
977
32'hFFFF1808: romout <= 16'h6100;
978
32'hFFFF180A: romout <= 16'h0022;
979
32'hFFFF180C: romout <= 16'h4CDF;
980
32'hFFFF180E: romout <= 16'h0107;
981
32'hFFFF1810: romout <= 16'h4E75;
982
32'hFFFF1812: romout <= 16'h5279;
983
32'hFFFF1814: romout <= 16'hFFDA;
984
32'hFFFF1816: romout <= 16'h0016;
985
32'hFFFF1818: romout <= 16'h5278;
986
32'hFFFF181A: romout <= 16'h041A;
987
32'hFFFF181C: romout <= 16'h3039;
988
32'hFFFF181E: romout <= 16'hFFDA;
989
32'hFFFF1820: romout <= 16'h0000;
990
32'hFFFF1822: romout <= 16'hB078;
991
32'hFFFF1824: romout <= 16'h041A;
992
32'hFFFF1826: romout <= 16'h642E;
993
32'hFFFF1828: romout <= 16'h4278;
994
32'hFFFF182A: romout <= 16'h041A;
995
32'hFFFF182C: romout <= 16'h5278;
996
32'hFFFF182E: romout <= 16'h0418;
997
32'hFFFF1830: romout <= 16'h3039;
998
32'hFFFF1832: romout <= 16'hFFDA;
999
32'hFFFF1834: romout <= 16'h0002;
1000
32'hFFFF1836: romout <= 16'hB078;
1001
32'hFFFF1838: romout <= 16'h0418;
1002
32'hFFFF183A: romout <= 16'h621A;
1003
32'hFFFF183C: romout <= 16'h3039;
1004
32'hFFFF183E: romout <= 16'hFFDA;
1005
32'hFFFF1840: romout <= 16'h0002;
1006
32'hFFFF1842: romout <= 16'h31C0;
1007
32'hFFFF1844: romout <= 16'h0418;
1008
32'hFFFF1846: romout <= 16'h5378;
1009
32'hFFFF1848: romout <= 16'h0418;
1010
32'hFFFF184A: romout <= 16'hE340;
1011
32'hFFFF184C: romout <= 16'h9179;
1012
32'hFFFF184E: romout <= 16'hFFDA;
1013
32'hFFFF1850: romout <= 16'h0016;
1014
32'hFFFF1852: romout <= 16'h6100;
1015
32'hFFFF1854: romout <= 16'h00CE;
1016
32'hFFFF1856: romout <= 16'h4E75;
1017
32'hFFFF1858: romout <= 16'h48E7;
1018
32'hFFFF185A: romout <= 16'hC040;
1019
32'hFFFF185C: romout <= 16'h4281;
1020
32'hFFFF185E: romout <= 16'h1219;
1021
32'hFFFF1860: romout <= 16'h0C01;
1022
32'hFFFF1862: romout <= 16'h0000;
1023
32'hFFFF1864: romout <= 16'h6706;
1024
32'hFFFF1866: romout <= 16'h6100;
1025
32'hFFFF1868: romout <= 16'hFECA;
1026
32'hFFFF186A: romout <= 16'h60F0;
1027
32'hFFFF186C: romout <= 16'h4CDF;
1028
32'hFFFF186E: romout <= 16'h0203;
1029
32'hFFFF1870: romout <= 16'h4E75;
1030
32'hFFFF1872: romout <= 16'h6100;
1031
32'hFFFF1874: romout <= 16'hFFE4;
1032
32'hFFFF1876: romout <= 16'h6000;
1033
32'hFFFF1878: romout <= 16'hFE74;
1034
32'hFFFF187A: romout <= 16'h48E7;
1035
32'hFFFF187C: romout <= 16'hC040;
1036
32'hFFFF187E: romout <= 16'h0241;
1037
32'hFFFF1880: romout <= 16'h00FF;
1038
32'hFFFF1882: romout <= 16'h2001;
1039
32'hFFFF1884: romout <= 16'h1219;
1040
32'hFFFF1886: romout <= 16'h0C01;
1041
32'hFFFF1888: romout <= 16'h0000;
1042
32'hFFFF188A: romout <= 16'h6708;
1043
32'hFFFF188C: romout <= 16'h6100;
1044
32'hFFFF188E: romout <= 16'hFEA4;
1045
32'hFFFF1890: romout <= 16'h57C8;
1046
32'hFFFF1892: romout <= 16'hFFF2;
1047
32'hFFFF1894: romout <= 16'h4CDF;
1048
32'hFFFF1896: romout <= 16'h0203;
1049
32'hFFFF1898: romout <= 16'h4E75;
1050
32'hFFFF189A: romout <= 16'h6100;
1051
32'hFFFF189C: romout <= 16'hFFDE;
1052
32'hFFFF189E: romout <= 16'h6000;
1053
32'hFFFF18A0: romout <= 16'hFE4C;
1054
32'hFFFF18A2: romout <= 16'h0C41;
1055
32'hFFFF18A4: romout <= 16'h00FF;
1056
32'hFFFF18A6: romout <= 16'h670E;
1057
32'hFFFF18A8: romout <= 16'h0C41;
1058
32'hFFFF18AA: romout <= 16'hFF00;
1059
32'hFFFF18AC: romout <= 16'h6714;
1060
32'hFFFF18AE: romout <= 16'h4EB9;
1061
32'hFFFF18B0: romout <= 16'hFFFF;
1062
32'hFFFF18B2: romout <= 16'h18E8;
1063
32'hFFFF18B4: romout <= 16'h4E75;
1064
32'hFFFF18B6: romout <= 16'h3238;
1065
32'hFFFF18B8: romout <= 16'h041A;
1066
32'hFFFF18BA: romout <= 16'hE141;
1067
32'hFFFF18BC: romout <= 16'h1238;
1068
32'hFFFF18BE: romout <= 16'h0418;
1069
32'hFFFF18C0: romout <= 16'h4E75;
1070
32'hFFFF18C2: romout <= 16'h2F01;
1071
32'hFFFF18C4: romout <= 16'h11C1;
1072
32'hFFFF18C6: romout <= 16'h0418;
1073
32'hFFFF18C8: romout <= 16'hE049;
1074
32'hFFFF18CA: romout <= 16'h31C1;
1075
32'hFFFF18CC: romout <= 16'h041A;
1076
32'hFFFF18CE: romout <= 16'h3238;
1077
32'hFFFF18D0: romout <= 16'h0418;
1078
32'hFFFF18D2: romout <= 16'hC2F9;
1079
32'hFFFF18D4: romout <= 16'hFFDA;
1080
32'hFFFF18D6: romout <= 16'h0000;
1081
32'hFFFF18D8: romout <= 16'hD278;
1082
32'hFFFF18DA: romout <= 16'h041A;
1083
32'hFFFF18DC: romout <= 16'hE341;
1084
32'hFFFF18DE: romout <= 16'h33C1;
1085
32'hFFFF18E0: romout <= 16'hFFDA;
1086
32'hFFFF18E2: romout <= 16'h0016;
1087
32'hFFFF18E4: romout <= 16'h221F;
1088
32'hFFFF18E6: romout <= 16'h4E75;
1089
32'hFFFF18E8: romout <= 16'h3239;
1090
32'hFFFF18EA: romout <= 16'hFFDA;
1091
32'hFFFF18EC: romout <= 16'h0000;
1092
32'hFFFF18EE: romout <= 16'hC2F9;
1093
32'hFFFF18F0: romout <= 16'hFFDA;
1094
32'hFFFF18F2: romout <= 16'h0002;
1095
32'hFFFF18F4: romout <= 16'h303C;
1096
32'hFFFF18F6: romout <= 16'h0020;
1097
32'hFFFF18F8: romout <= 16'h207C;
1098
32'hFFFF18FA: romout <= 16'hFFD0;
1099
32'hFFFF18FC: romout <= 16'h0000;
1100
32'hFFFF18FE: romout <= 16'h30C0;
1101
32'hFFFF1900: romout <= 16'h57C9;
1102
32'hFFFF1902: romout <= 16'hFFFC;
1103
32'hFFFF1904: romout <= 16'h3239;
1104
32'hFFFF1906: romout <= 16'hFFDA;
1105
32'hFFFF1908: romout <= 16'h0000;
1106
32'hFFFF190A: romout <= 16'hC2F9;
1107
32'hFFFF190C: romout <= 16'hFFDA;
1108
32'hFFFF190E: romout <= 16'h0002;
1109
32'hFFFF1910: romout <= 16'h3038;
1110
32'hFFFF1912: romout <= 16'h0414;
1111
32'hFFFF1914: romout <= 16'h207C;
1112
32'hFFFF1916: romout <= 16'hFFD1;
1113
32'hFFFF1918: romout <= 16'h0000;
1114
32'hFFFF191A: romout <= 16'h30C0;
1115
32'hFFFF191C: romout <= 16'h57C9;
1116
32'hFFFF191E: romout <= 16'hFFFC;
1117
32'hFFFF1920: romout <= 16'h4E75;
1118
32'hFFFF1922: romout <= 16'h48E7;
1119
32'hFFFF1924: romout <= 16'hE080;
1120
32'hFFFF1926: romout <= 16'h3039;
1121
32'hFFFF1928: romout <= 16'hFFDA;
1122
32'hFFFF192A: romout <= 16'h0000;
1123
32'hFFFF192C: romout <= 16'hC0F9;
1124
32'hFFFF192E: romout <= 16'hFFDA;
1125
32'hFFFF1930: romout <= 16'h0002;
1126
32'hFFFF1932: romout <= 16'h9079;
1127
32'hFFFF1934: romout <= 16'hFFDA;
1128
32'hFFFF1936: romout <= 16'h0000;
1129
32'hFFFF1938: romout <= 16'h41F9;
1130
32'hFFFF193A: romout <= 16'hFFD0;
1131
32'hFFFF193C: romout <= 16'h0000;
1132
32'hFFFF193E: romout <= 16'h3439;
1133
32'hFFFF1940: romout <= 16'hFFDA;
1134
32'hFFFF1942: romout <= 16'h0000;
1135
32'hFFFF1944: romout <= 16'hE342;
1136
32'hFFFF1946: romout <= 16'h30F0;
1137
32'hFFFF1948: romout <= 16'h2000;
1138
32'hFFFF194A: romout <= 16'h57C8;
1139
32'hFFFF194C: romout <= 16'hFFFA;
1140
32'hFFFF194E: romout <= 16'h3239;
1141
32'hFFFF1950: romout <= 16'hFFDA;
1142
32'hFFFF1952: romout <= 16'h0002;
1143
32'hFFFF1954: romout <= 16'h5341;
1144
32'hFFFF1956: romout <= 16'h4EB9;
1145
32'hFFFF1958: romout <= 16'hFFFF;
1146
32'hFFFF195A: romout <= 16'h1962;
1147
32'hFFFF195C: romout <= 16'h4CDF;
1148
32'hFFFF195E: romout <= 16'h0107;
1149
32'hFFFF1960: romout <= 16'h4E75;
1150
32'hFFFF1962: romout <= 16'h48E7;
1151
32'hFFFF1964: romout <= 16'h8080;
1152
32'hFFFF1966: romout <= 16'h3039;
1153
32'hFFFF1968: romout <= 16'hFFDA;
1154
32'hFFFF196A: romout <= 16'h0000;
1155
32'hFFFF196C: romout <= 16'hC0C1;
1156
32'hFFFF196E: romout <= 16'hE340;
1157
32'hFFFF1970: romout <= 16'h0680;
1158
32'hFFFF1972: romout <= 16'hFFD0;
1159
32'hFFFF1974: romout <= 16'h0000;
1160
32'hFFFF1976: romout <= 16'h2040;
1161
32'hFFFF1978: romout <= 16'h3039;
1162
32'hFFFF197A: romout <= 16'hFFDA;
1163
32'hFFFF197C: romout <= 16'h0000;
1164
32'hFFFF197E: romout <= 16'h30FC;
1165
32'hFFFF1980: romout <= 16'h0020;
1166
32'hFFFF1982: romout <= 16'h57C8;
1167
32'hFFFF1984: romout <= 16'hFFFA;
1168
32'hFFFF1986: romout <= 16'h4CDF;
1169
32'hFFFF1988: romout <= 16'h0101;
1170
32'hFFFF198A: romout <= 16'h4E75;
1171
32'hFFFF198C: romout <= 16'h48E7;
1172
32'hFFFF198E: romout <= 16'hF800;
1173
32'hFFFF1990: romout <= 16'h4284;
1174
32'hFFFF1992: romout <= 16'h1802;
1175
32'hFFFF1994: romout <= 16'h4EB9;
1176
32'hFFFF1996: romout <= 16'hFFFF;
1177
32'hFFFF1998: romout <= 16'h2F7A;
1178
32'hFFFF199A: romout <= 16'h4CDF;
1179
32'hFFFF199C: romout <= 16'h001F;
1180
32'hFFFF199E: romout <= 16'h4E75;
1181
32'hFFFF19A0: romout <= 16'h48E7;
1182
32'hFFFF19A2: romout <= 16'hF800;
1183
32'hFFFF19A4: romout <= 16'h4284;
1184
32'hFFFF19A6: romout <= 16'h4EB9;
1185
32'hFFFF19A8: romout <= 16'hFFFF;
1186
32'hFFFF19AA: romout <= 16'h2F7A;
1187
32'hFFFF19AC: romout <= 16'h4CDF;
1188
32'hFFFF19AE: romout <= 16'h001F;
1189
32'hFFFF19B0: romout <= 16'h4E75;
1190
32'hFFFF19B2: romout <= 16'h0241;
1191
32'hFFFF19B4: romout <= 16'h00FF;
1192
32'hFFFF19B6: romout <= 16'h0C01;
1193
32'hFFFF19B8: romout <= 16'h0041;
1194
32'hFFFF19BA: romout <= 16'h6516;
1195
32'hFFFF19BC: romout <= 16'h0C01;
1196
32'hFFFF19BE: romout <= 16'h005A;
1197
32'hFFFF19C0: romout <= 16'h6310;
1198
32'hFFFF19C2: romout <= 16'h0C01;
1199
32'hFFFF19C4: romout <= 16'h007A;
1200
32'hFFFF19C6: romout <= 16'h620A;
1201
32'hFFFF19C8: romout <= 16'h0C01;
1202
32'hFFFF19CA: romout <= 16'h0061;
1203
32'hFFFF19CC: romout <= 16'h6504;
1204
32'hFFFF19CE: romout <= 16'h0401;
1205
32'hFFFF19D0: romout <= 16'h0060;
1206
32'hFFFF19D2: romout <= 16'h0041;
1207
32'hFFFF19D4: romout <= 16'h0100;
1208
32'hFFFF19D6: romout <= 16'h4E75;
1209
32'hFFFF19D8: romout <= 16'h0201;
1210
32'hFFFF19DA: romout <= 16'h00FF;
1211
32'hFFFF19DC: romout <= 16'h0C01;
1212
32'hFFFF19DE: romout <= 16'h001A;
1213
32'hFFFF19E0: romout <= 16'h6204;
1214
32'hFFFF19E2: romout <= 16'h0601;
1215
32'hFFFF19E4: romout <= 16'h0060;
1216
32'hFFFF19E6: romout <= 16'h4E75;
1217
32'hFFFF19E8: romout <= 16'h3F01;
1218
32'hFFFF19EA: romout <= 16'h0201;
1219
32'hFFFF19EC: romout <= 16'h000F;
1220
32'hFFFF19EE: romout <= 16'h0601;
1221
32'hFFFF19F0: romout <= 16'h0030;
1222
32'hFFFF19F2: romout <= 16'h0C01;
1223
32'hFFFF19F4: romout <= 16'h0039;
1224
32'hFFFF19F6: romout <= 16'h6302;
1225
32'hFFFF19F8: romout <= 16'h5E01;
1226
32'hFFFF19FA: romout <= 16'h6100;
1227
32'hFFFF19FC: romout <= 16'hFD36;
1228
32'hFFFF19FE: romout <= 16'h321F;
1229
32'hFFFF1A00: romout <= 16'h4E75;
1230
32'hFFFF1A02: romout <= 16'h3F01;
1231
32'hFFFF1A04: romout <= 16'hE819;
1232
32'hFFFF1A06: romout <= 16'h6100;
1233
32'hFFFF1A08: romout <= 16'hFFE0;
1234
32'hFFFF1A0A: romout <= 16'hE919;
1235
32'hFFFF1A0C: romout <= 16'h6100;
1236
32'hFFFF1A0E: romout <= 16'hFFDA;
1237
32'hFFFF1A10: romout <= 16'h321F;
1238
32'hFFFF1A12: romout <= 16'h4E75;
1239
32'hFFFF1A14: romout <= 16'hE199;
1240
32'hFFFF1A16: romout <= 16'h6100;
1241
32'hFFFF1A18: romout <= 16'hFFEA;
1242
32'hFFFF1A1A: romout <= 16'hE199;
1243
32'hFFFF1A1C: romout <= 16'h6100;
1244
32'hFFFF1A1E: romout <= 16'hFFE4;
1245
32'hFFFF1A20: romout <= 16'hE199;
1246
32'hFFFF1A22: romout <= 16'h6100;
1247
32'hFFFF1A24: romout <= 16'hFFDE;
1248
32'hFFFF1A26: romout <= 16'hE199;
1249
32'hFFFF1A28: romout <= 16'h6100;
1250
32'hFFFF1A2A: romout <= 16'hFFD8;
1251
32'hFFFF1A2C: romout <= 16'h4E75;
1252
32'hFFFF1A2E: romout <= 16'h123C;
1253
32'hFFFF1A30: romout <= 16'h003A;
1254
32'hFFFF1A32: romout <= 16'h4EB9;
1255
32'hFFFF1A34: romout <= 16'hFFFF;
1256
32'hFFFF1A36: romout <= 16'h1732;
1257
32'hFFFF1A38: romout <= 16'h2208;
1258
32'hFFFF1A3A: romout <= 16'h4EB9;
1259
32'hFFFF1A3C: romout <= 16'hFFFF;
1260
32'hFFFF1A3E: romout <= 16'h1A14;
1261
32'hFFFF1A40: romout <= 16'h7407;
1262
32'hFFFF1A42: romout <= 16'h123C;
1263
32'hFFFF1A44: romout <= 16'h0020;
1264
32'hFFFF1A46: romout <= 16'h4EB9;
1265
32'hFFFF1A48: romout <= 16'hFFFF;
1266
32'hFFFF1A4A: romout <= 16'h1732;
1267
32'hFFFF1A4C: romout <= 16'h1218;
1268
32'hFFFF1A4E: romout <= 16'h4EB9;
1269
32'hFFFF1A50: romout <= 16'hFFFF;
1270
32'hFFFF1A52: romout <= 16'h1A02;
1271
32'hFFFF1A54: romout <= 16'h51CA;
1272
32'hFFFF1A56: romout <= 16'hFFEC;
1273
32'hFFFF1A58: romout <= 16'h4EF9;
1274
32'hFFFF1A5A: romout <= 16'hFFFF;
1275
32'hFFFF1A5C: romout <= 16'h16EC;
1276
32'hFFFF1A5E: romout <= 16'h4E55;
1277
32'hFFFF1A60: romout <= 16'hFFE8;
1278
32'hFFFF1A62: romout <= 16'h41ED;
1279
32'hFFFF1A64: romout <= 16'hFFFA;
1280
32'hFFFF1A66: romout <= 16'h43ED;
1281
32'hFFFF1A68: romout <= 16'hFFFC;
1282
32'hFFFF1A6A: romout <= 16'h3B7C;
1283
32'hFFFF1A6C: romout <= 16'h0000;
1284
32'hFFFF1A6E: romout <= 16'hFFFA;
1285
32'hFFFF1A70: romout <= 16'h3B7C;
1286
32'hFFFF1A72: romout <= 16'h0002;
1287
32'hFFFF1A74: romout <= 16'hFFF8;
1288
32'hFFFF1A76: romout <= 16'h7048;
1289
32'hFFFF1A78: romout <= 16'h4E41;
1290
32'hFFFF1A7A: romout <= 16'h4278;
1291
32'hFFFF1A7C: romout <= 16'h041C;
1292
32'hFFFF1A7E: romout <= 16'h6100;
1293
32'hFFFF1A80: romout <= 16'hFC6C;
1294
32'hFFFF1A82: romout <= 16'h123C;
1295
32'hFFFF1A84: romout <= 16'h0024;
1296
32'hFFFF1A86: romout <= 16'h6100;
1297
32'hFFFF1A88: romout <= 16'hFCAA;
1298
32'hFFFF1A8A: romout <= 16'h6100;
1299
32'hFFFF1A8C: romout <= 16'hFBCC;
1300
32'hFFFF1A8E: romout <= 16'h0C01;
1301
32'hFFFF1A90: romout <= 16'h000D;
1302
32'hFFFF1A92: romout <= 16'h6706;
1303
32'hFFFF1A94: romout <= 16'h6100;
1304
32'hFFFF1A96: romout <= 16'hFC9C;
1305
32'hFFFF1A98: romout <= 16'h60F0;
1306
32'hFFFF1A9A: romout <= 16'h4278;
1307
32'hFFFF1A9C: romout <= 16'h041A;
1308
32'hFFFF1A9E: romout <= 16'h6100;
1309
32'hFFFF1AA0: romout <= 16'hFC66;
1310
32'hFFFF1AA2: romout <= 16'h3218;
1311
32'hFFFF1AA4: romout <= 16'h6100;
1312
32'hFFFF1AA6: romout <= 16'hFF32;
1313
32'hFFFF1AA8: romout <= 16'h0C01;
1314
32'hFFFF1AAA: romout <= 16'h0024;
1315
32'hFFFF1AAC: romout <= 16'h6606;
1316
32'hFFFF1AAE: romout <= 16'h3218;
1317
32'hFFFF1AB0: romout <= 16'h6100;
1318
32'hFFFF1AB2: romout <= 16'hFF26;
1319
32'hFFFF1AB4: romout <= 16'h0C01;
1320
32'hFFFF1AB6: romout <= 16'h003A;
1321
32'hFFFF1AB8: romout <= 16'h6700;
1322
32'hFFFF1ABA: romout <= 16'h00FC;
1323
32'hFFFF1ABC: romout <= 16'h0C01;
1324
32'hFFFF1ABE: romout <= 16'h0044;
1325
32'hFFFF1AC0: romout <= 16'h6700;
1326
32'hFFFF1AC2: romout <= 16'h0162;
1327
32'hFFFF1AC4: romout <= 16'h0C01;
1328
32'hFFFF1AC6: romout <= 16'h0042;
1329
32'hFFFF1AC8: romout <= 16'h6700;
1330
32'hFFFF1ACA: romout <= 16'h0936;
1331
32'hFFFF1ACC: romout <= 16'h0C01;
1332
32'hFFFF1ACE: romout <= 16'h004A;
1333
32'hFFFF1AD0: romout <= 16'h6700;
1334
32'hFFFF1AD2: romout <= 16'h0142;
1335
32'hFFFF1AD4: romout <= 16'h0C01;
1336
32'hFFFF1AD6: romout <= 16'h004C;
1337
32'hFFFF1AD8: romout <= 16'h6700;
1338
32'hFFFF1ADA: romout <= 16'h01EE;
1339
32'hFFFF1ADC: romout <= 16'h0C01;
1340
32'hFFFF1ADE: romout <= 16'h003F;
1341
32'hFFFF1AE0: romout <= 16'h672A;
1342
32'hFFFF1AE2: romout <= 16'h0C01;
1343
32'hFFFF1AE4: romout <= 16'h0043;
1344
32'hFFFF1AE6: romout <= 16'h6702;
1345
32'hFFFF1AE8: romout <= 16'h6090;
1346
32'hFFFF1AEA: romout <= 16'h3218;
1347
32'hFFFF1AEC: romout <= 16'h6100;
1348
32'hFFFF1AEE: romout <= 16'hFEEA;
1349
32'hFFFF1AF0: romout <= 16'h0C01;
1350
32'hFFFF1AF2: romout <= 16'h004C;
1351
32'hFFFF1AF4: romout <= 16'h6684;
1352
32'hFFFF1AF6: romout <= 16'h3218;
1353
32'hFFFF1AF8: romout <= 16'h6100;
1354
32'hFFFF1AFA: romout <= 16'hFEDE;
1355
32'hFFFF1AFC: romout <= 16'h0C01;
1356
32'hFFFF1AFE: romout <= 16'h0053;
1357
32'hFFFF1B00: romout <= 16'h6600;
1358
32'hFFFF1B02: romout <= 16'hFF78;
1359
32'hFFFF1B04: romout <= 16'h6100;
1360
32'hFFFF1B06: romout <= 16'hFDE2;
1361
32'hFFFF1B08: romout <= 16'h6000;
1362
32'hFFFF1B0A: romout <= 16'hFF70;
1363
32'hFFFF1B0C: romout <= 16'h43F9;
1364
32'hFFFF1B0E: romout <= 16'hFFFF;
1365
32'hFFFF1B10: romout <= 16'h1B1C;
1366
32'hFFFF1B12: romout <= 16'h4EB9;
1367
32'hFFFF1B14: romout <= 16'hFFFF;
1368
32'hFFFF1B16: romout <= 16'h1858;
1369
32'hFFFF1B18: romout <= 16'h6000;
1370
32'hFFFF1B1A: romout <= 16'hFF60;
1371
32'hFFFF1B1C: romout <= 16'h3F20;
1372
32'hFFFF1B1E: romout <= 16'h3D20;
1373
32'hFFFF1B20: romout <= 16'h4469;
1374
32'hFFFF1B22: romout <= 16'h7370;
1375
32'hFFFF1B24: romout <= 16'h6C61;
1376
32'hFFFF1B26: romout <= 16'h7920;
1377
32'hFFFF1B28: romout <= 16'h6865;
1378
32'hFFFF1B2A: romout <= 16'h6C70;
1379
32'hFFFF1B2C: romout <= 16'h0D0A;
1380
32'hFFFF1B2E: romout <= 16'h434C;
1381
32'hFFFF1B30: romout <= 16'h5320;
1382
32'hFFFF1B32: romout <= 16'h3D20;
1383
32'hFFFF1B34: romout <= 16'h636C;
1384
32'hFFFF1B36: romout <= 16'h6561;
1385
32'hFFFF1B38: romout <= 16'h7220;
1386
32'hFFFF1B3A: romout <= 16'h7363;
1387
32'hFFFF1B3C: romout <= 16'h7265;
1388
32'hFFFF1B3E: romout <= 16'h656E;
1389
32'hFFFF1B40: romout <= 16'h0D0A;
1390
32'hFFFF1B42: romout <= 16'h3A20;
1391
32'hFFFF1B44: romout <= 16'h3D20;
1392
32'hFFFF1B46: romout <= 16'h4564;
1393
32'hFFFF1B48: romout <= 16'h6974;
1394
32'hFFFF1B4A: romout <= 16'h206D;
1395
32'hFFFF1B4C: romout <= 16'h656D;
1396
32'hFFFF1B4E: romout <= 16'h6F72;
1397
32'hFFFF1B50: romout <= 16'h7920;
1398
32'hFFFF1B52: romout <= 16'h6279;
1399
32'hFFFF1B54: romout <= 16'h7465;
1400
32'hFFFF1B56: romout <= 16'h730D;
1401
32'hFFFF1B58: romout <= 16'h0A4C;
1402
32'hFFFF1B5A: romout <= 16'h203D;
1403
32'hFFFF1B5C: romout <= 16'h204C;
1404
32'hFFFF1B5E: romout <= 16'h6F61;
1405
32'hFFFF1B60: romout <= 16'h6420;
1406
32'hFFFF1B62: romout <= 16'h5331;
1407
32'hFFFF1B64: romout <= 16'h3920;
1408
32'hFFFF1B66: romout <= 16'h6669;
1409
32'hFFFF1B68: romout <= 16'h6C65;
1410
32'hFFFF1B6A: romout <= 16'h0D0A;
1411
32'hFFFF1B6C: romout <= 16'h4420;
1412
32'hFFFF1B6E: romout <= 16'h3D20;
1413
32'hFFFF1B70: romout <= 16'h4475;
1414
32'hFFFF1B72: romout <= 16'h6D70;
1415
32'hFFFF1B74: romout <= 16'h206D;
1416
32'hFFFF1B76: romout <= 16'h656D;
1417
32'hFFFF1B78: romout <= 16'h6F72;
1418
32'hFFFF1B7A: romout <= 16'h790D;
1419
32'hFFFF1B7C: romout <= 16'h0A42;
1420
32'hFFFF1B7E: romout <= 16'h203D;
1421
32'hFFFF1B80: romout <= 16'h2073;
1422
32'hFFFF1B82: romout <= 16'h7461;
1423
32'hFFFF1B84: romout <= 16'h7274;
1424
32'hFFFF1B86: romout <= 16'h2074;
1425
32'hFFFF1B88: romout <= 16'h696E;
1426
32'hFFFF1B8A: romout <= 16'h7920;
1427
32'hFFFF1B8C: romout <= 16'h6261;
1428
32'hFFFF1B8E: romout <= 16'h7369;
1429
32'hFFFF1B90: romout <= 16'h630D;
1430
32'hFFFF1B92: romout <= 16'h0A4A;
1431
32'hFFFF1B94: romout <= 16'h203D;
1432
32'hFFFF1B96: romout <= 16'h204A;
1433
32'hFFFF1B98: romout <= 16'h756D;
1434
32'hFFFF1B9A: romout <= 16'h7020;
1435
32'hFFFF1B9C: romout <= 16'h746F;
1436
32'hFFFF1B9E: romout <= 16'h2063;
1437
32'hFFFF1BA0: romout <= 16'h6F64;
1438
32'hFFFF1BA2: romout <= 16'h650D;
1439
32'hFFFF1BA4: romout <= 16'h0A00;
1440
32'hFFFF1BA6: romout <= 16'h3218;
1441
32'hFFFF1BA8: romout <= 16'h6100;
1442
32'hFFFF1BAA: romout <= 16'hFE2E;
1443
32'hFFFF1BAC: romout <= 16'h0C01;
1444
32'hFFFF1BAE: romout <= 16'h0020;
1445
32'hFFFF1BB0: romout <= 16'h67F4;
1446
32'hFFFF1BB2: romout <= 16'h5588;
1447
32'hFFFF1BB4: romout <= 16'h4E75;
1448
32'hFFFF1BB6: romout <= 16'h6100;
1449
32'hFFFF1BB8: romout <= 16'hFFEE;
1450
32'hFFFF1BBA: romout <= 16'h6100;
1451
32'hFFFF1BBC: romout <= 16'h009C;
1452
32'hFFFF1BBE: romout <= 16'h2241;
1453
32'hFFFF1BC0: romout <= 16'h6100;
1454
32'hFFFF1BC2: romout <= 16'hFFE4;
1455
32'hFFFF1BC4: romout <= 16'h6100;
1456
32'hFFFF1BC6: romout <= 16'h0092;
1457
32'hFFFF1BC8: romout <= 16'h12C1;
1458
32'hFFFF1BCA: romout <= 16'h6100;
1459
32'hFFFF1BCC: romout <= 16'hFFDA;
1460
32'hFFFF1BCE: romout <= 16'h6100;
1461
32'hFFFF1BD0: romout <= 16'h0088;
1462
32'hFFFF1BD2: romout <= 16'h12C1;
1463
32'hFFFF1BD4: romout <= 16'h6100;
1464
32'hFFFF1BD6: romout <= 16'hFFD0;
1465
32'hFFFF1BD8: romout <= 16'h6100;
1466
32'hFFFF1BDA: romout <= 16'h007E;
1467
32'hFFFF1BDC: romout <= 16'h12C1;
1468
32'hFFFF1BDE: romout <= 16'h6100;
1469
32'hFFFF1BE0: romout <= 16'hFFC6;
1470
32'hFFFF1BE2: romout <= 16'h6100;
1471
32'hFFFF1BE4: romout <= 16'h0074;
1472
32'hFFFF1BE6: romout <= 16'h12C1;
1473
32'hFFFF1BE8: romout <= 16'h6100;
1474
32'hFFFF1BEA: romout <= 16'hFFBC;
1475
32'hFFFF1BEC: romout <= 16'h6100;
1476
32'hFFFF1BEE: romout <= 16'h006A;
1477
32'hFFFF1BF0: romout <= 16'h12C1;
1478
32'hFFFF1BF2: romout <= 16'h6100;
1479
32'hFFFF1BF4: romout <= 16'hFFB2;
1480
32'hFFFF1BF6: romout <= 16'h6100;
1481
32'hFFFF1BF8: romout <= 16'h0060;
1482
32'hFFFF1BFA: romout <= 16'h12C1;
1483
32'hFFFF1BFC: romout <= 16'h6100;
1484
32'hFFFF1BFE: romout <= 16'hFFA8;
1485
32'hFFFF1C00: romout <= 16'h6100;
1486
32'hFFFF1C02: romout <= 16'h0056;
1487
32'hFFFF1C04: romout <= 16'h12C1;
1488
32'hFFFF1C06: romout <= 16'h6100;
1489
32'hFFFF1C08: romout <= 16'hFF9E;
1490
32'hFFFF1C0A: romout <= 16'h6100;
1491
32'hFFFF1C0C: romout <= 16'h004C;
1492
32'hFFFF1C0E: romout <= 16'h12C1;
1493
32'hFFFF1C10: romout <= 16'h6000;
1494
32'hFFFF1C12: romout <= 16'hFE68;
1495
32'hFFFF1C14: romout <= 16'h6100;
1496
32'hFFFF1C16: romout <= 16'hFF90;
1497
32'hFFFF1C18: romout <= 16'h6100;
1498
32'hFFFF1C1A: romout <= 16'h003E;
1499
32'hFFFF1C1C: romout <= 16'h2041;
1500
32'hFFFF1C1E: romout <= 16'h4E90;
1501
32'hFFFF1C20: romout <= 16'h6000;
1502
32'hFFFF1C22: romout <= 16'hFE58;
1503
32'hFFFF1C24: romout <= 16'h6100;
1504
32'hFFFF1C26: romout <= 16'hFF80;
1505
32'hFFFF1C28: romout <= 16'h6100;
1506
32'hFFFF1C2A: romout <= 16'h002E;
1507
32'hFFFF1C2C: romout <= 16'h2041;
1508
32'hFFFF1C2E: romout <= 16'h4EB9;
1509
32'hFFFF1C30: romout <= 16'hFFFF;
1510
32'hFFFF1C32: romout <= 16'h16EC;
1511
32'hFFFF1C34: romout <= 16'h6100;
1512
32'hFFFF1C36: romout <= 16'hFDF8;
1513
32'hFFFF1C38: romout <= 16'h6100;
1514
32'hFFFF1C3A: romout <= 16'hFDF4;
1515
32'hFFFF1C3C: romout <= 16'h6100;
1516
32'hFFFF1C3E: romout <= 16'hFDF0;
1517
32'hFFFF1C40: romout <= 16'h6100;
1518
32'hFFFF1C42: romout <= 16'hFDEC;
1519
32'hFFFF1C44: romout <= 16'h6100;
1520
32'hFFFF1C46: romout <= 16'hFDE8;
1521
32'hFFFF1C48: romout <= 16'h6100;
1522
32'hFFFF1C4A: romout <= 16'hFDE4;
1523
32'hFFFF1C4C: romout <= 16'h6100;
1524
32'hFFFF1C4E: romout <= 16'hFDE0;
1525
32'hFFFF1C50: romout <= 16'h6100;
1526
32'hFFFF1C52: romout <= 16'hFDDC;
1527
32'hFFFF1C54: romout <= 16'h6000;
1528
32'hFFFF1C56: romout <= 16'hFE24;
1529
32'hFFFF1C58: romout <= 16'h48E7;
1530
32'hFFFF1C5A: romout <= 16'hA000;
1531
32'hFFFF1C5C: romout <= 16'h4282;
1532
32'hFFFF1C5E: romout <= 16'h7007;
1533
32'hFFFF1C60: romout <= 16'h3218;
1534
32'hFFFF1C62: romout <= 16'h6100;
1535
32'hFFFF1C64: romout <= 16'hFD74;
1536
32'hFFFF1C66: romout <= 16'h6100;
1537
32'hFFFF1C68: romout <= 16'h001E;
1538
32'hFFFF1C6A: romout <= 16'hB23C;
1539
32'hFFFF1C6C: romout <= 16'h00FF;
1540
32'hFFFF1C6E: romout <= 16'h670E;
1541
32'hFFFF1C70: romout <= 16'hE98A;
1542
32'hFFFF1C72: romout <= 16'h0281;
1543
32'hFFFF1C74: romout <= 16'h0000;
1544
32'hFFFF1C76: romout <= 16'h000F;
1545
32'hFFFF1C78: romout <= 16'h8481;
1546
32'hFFFF1C7A: romout <= 16'h51C8;
1547
32'hFFFF1C7C: romout <= 16'hFFE4;
1548
32'hFFFF1C7E: romout <= 16'h2202;
1549
32'hFFFF1C80: romout <= 16'h4CDF;
1550
32'hFFFF1C82: romout <= 16'h0005;
1551
32'hFFFF1C84: romout <= 16'h4E75;
1552
32'hFFFF1C86: romout <= 16'h0C01;
1553
32'hFFFF1C88: romout <= 16'h0030;
1554
32'hFFFF1C8A: romout <= 16'h6538;
1555
32'hFFFF1C8C: romout <= 16'h0C01;
1556
32'hFFFF1C8E: romout <= 16'h0039;
1557
32'hFFFF1C90: romout <= 16'h6206;
1558
32'hFFFF1C92: romout <= 16'h0401;
1559
32'hFFFF1C94: romout <= 16'h0030;
1560
32'hFFFF1C96: romout <= 16'h4E75;
1561
32'hFFFF1C98: romout <= 16'h0C01;
1562
32'hFFFF1C9A: romout <= 16'h0041;
1563
32'hFFFF1C9C: romout <= 16'h6526;
1564
32'hFFFF1C9E: romout <= 16'h0C01;
1565
32'hFFFF1CA0: romout <= 16'h0046;
1566
32'hFFFF1CA2: romout <= 16'h620A;
1567
32'hFFFF1CA4: romout <= 16'h0401;
1568
32'hFFFF1CA6: romout <= 16'h0041;
1569
32'hFFFF1CA8: romout <= 16'h0601;
1570
32'hFFFF1CAA: romout <= 16'h000A;
1571
32'hFFFF1CAC: romout <= 16'h4E75;
1572
32'hFFFF1CAE: romout <= 16'h0C01;
1573
32'hFFFF1CB0: romout <= 16'h0061;
1574
32'hFFFF1CB2: romout <= 16'h6510;
1575
32'hFFFF1CB4: romout <= 16'h0C01;
1576
32'hFFFF1CB6: romout <= 16'h0066;
1577
32'hFFFF1CB8: romout <= 16'h620A;
1578
32'hFFFF1CBA: romout <= 16'h0401;
1579
32'hFFFF1CBC: romout <= 16'h0061;
1580
32'hFFFF1CBE: romout <= 16'h0601;
1581
32'hFFFF1CC0: romout <= 16'h000A;
1582
32'hFFFF1CC2: romout <= 16'h4E75;
1583
32'hFFFF1CC4: romout <= 16'h72FF;
1584
32'hFFFF1CC6: romout <= 16'h4E75;
1585
32'hFFFF1CC8: romout <= 16'h600A;
1586
32'hFFFF1CCA: romout <= 16'h6100;
1587
32'hFFFF1CCC: romout <= 16'h0174;
1588
32'hFFFF1CCE: romout <= 16'h0C00;
1589
32'hFFFF1CD0: romout <= 16'h000A;
1590
32'hFFFF1CD2: romout <= 16'h66F6;
1591
32'hFFFF1CD4: romout <= 16'h6100;
1592
32'hFFFF1CD6: romout <= 16'h016A;
1593
32'hFFFF1CD8: romout <= 16'h1800;
1594
32'hFFFF1CDA: romout <= 16'h0C04;
1595
32'hFFFF1CDC: romout <= 16'h001A;
1596
32'hFFFF1CDE: romout <= 16'h6700;
1597
32'hFFFF1CE0: romout <= 16'hFD9A;
1598
32'hFFFF1CE2: romout <= 16'h0C04;
1599
32'hFFFF1CE4: romout <= 16'h0053;
1600
32'hFFFF1CE6: romout <= 16'h66E2;
1601
32'hFFFF1CE8: romout <= 16'h6100;
1602
32'hFFFF1CEA: romout <= 16'h0156;
1603
32'hFFFF1CEC: romout <= 16'h1800;
1604
32'hFFFF1CEE: romout <= 16'h0C04;
1605
32'hFFFF1CF0: romout <= 16'h0030;
1606
32'hFFFF1CF2: romout <= 16'h65D6;
1607
32'hFFFF1CF4: romout <= 16'h0C04;
1608
32'hFFFF1CF6: romout <= 16'h0039;
1609
32'hFFFF1CF8: romout <= 16'h62D0;
1610
32'hFFFF1CFA: romout <= 16'h6100;
1611
32'hFFFF1CFC: romout <= 16'h0144;
1612
32'hFFFF1CFE: romout <= 16'h6100;
1613
32'hFFFF1D00: romout <= 16'hFF86;
1614
32'hFFFF1D02: romout <= 16'h1401;
1615
32'hFFFF1D04: romout <= 16'h6100;
1616
32'hFFFF1D06: romout <= 16'h013A;
1617
32'hFFFF1D08: romout <= 16'h6100;
1618
32'hFFFF1D0A: romout <= 16'hFF7C;
1619
32'hFFFF1D0C: romout <= 16'hE90A;
1620
32'hFFFF1D0E: romout <= 16'h8202;
1621
32'hFFFF1D10: romout <= 16'h1601;
1622
32'hFFFF1D12: romout <= 16'h0C04;
1623
32'hFFFF1D14: romout <= 16'h0030;
1624
32'hFFFF1D16: romout <= 16'h67B2;
1625
32'hFFFF1D18: romout <= 16'h0C04;
1626
32'hFFFF1D1A: romout <= 16'h0031;
1627
32'hFFFF1D1C: romout <= 16'h676A;
1628
32'hFFFF1D1E: romout <= 16'h0C04;
1629
32'hFFFF1D20: romout <= 16'h0032;
1630
32'hFFFF1D22: romout <= 16'h676A;
1631
32'hFFFF1D24: romout <= 16'h0C04;
1632
32'hFFFF1D26: romout <= 16'h0033;
1633
32'hFFFF1D28: romout <= 16'h676A;
1634
32'hFFFF1D2A: romout <= 16'h0C04;
1635
32'hFFFF1D2C: romout <= 16'h0035;
1636
32'hFFFF1D2E: romout <= 16'h679A;
1637
32'hFFFF1D30: romout <= 16'h0C04;
1638
32'hFFFF1D32: romout <= 16'h0037;
1639
32'hFFFF1D34: romout <= 16'h6764;
1640
32'hFFFF1D36: romout <= 16'h0C04;
1641
32'hFFFF1D38: romout <= 16'h0038;
1642
32'hFFFF1D3A: romout <= 16'h676A;
1643
32'hFFFF1D3C: romout <= 16'h0C04;
1644
32'hFFFF1D3E: romout <= 16'h0039;
1645
32'hFFFF1D40: romout <= 16'h6770;
1646
32'hFFFF1D42: romout <= 16'h6086;
1647
32'hFFFF1D44: romout <= 16'h0243;
1648
32'hFFFF1D46: romout <= 16'h00FF;
1649
32'hFFFF1D48: romout <= 16'h5343;
1650
32'hFFFF1D4A: romout <= 16'h4282;
1651
32'hFFFF1D4C: romout <= 16'h6100;
1652
32'hFFFF1D4E: romout <= 16'h00F2;
1653
32'hFFFF1D50: romout <= 16'h6100;
1654
32'hFFFF1D52: romout <= 16'hFF34;
1655
32'hFFFF1D54: romout <= 16'hE98A;
1656
32'hFFFF1D56: romout <= 16'h8401;
1657
32'hFFFF1D58: romout <= 16'h6100;
1658
32'hFFFF1D5A: romout <= 16'h00E6;
1659
32'hFFFF1D5C: romout <= 16'h6100;
1660
32'hFFFF1D5E: romout <= 16'hFF28;
1661
32'hFFFF1D60: romout <= 16'hE98A;
1662
32'hFFFF1D62: romout <= 16'h8401;
1663
32'hFFFF1D64: romout <= 16'h12C2;
1664
32'hFFFF1D66: romout <= 16'h51CB;
1665
32'hFFFF1D68: romout <= 16'hFFE2;
1666
32'hFFFF1D6A: romout <= 16'h4282;
1667
32'hFFFF1D6C: romout <= 16'h6100;
1668
32'hFFFF1D6E: romout <= 16'h00D2;
1669
32'hFFFF1D70: romout <= 16'h6100;
1670
32'hFFFF1D72: romout <= 16'hFF14;
1671
32'hFFFF1D74: romout <= 16'hE98A;
1672
32'hFFFF1D76: romout <= 16'h8401;
1673
32'hFFFF1D78: romout <= 16'h6100;
1674
32'hFFFF1D7A: romout <= 16'h00C6;
1675
32'hFFFF1D7C: romout <= 16'h6100;
1676
32'hFFFF1D7E: romout <= 16'hFF08;
1677
32'hFFFF1D80: romout <= 16'hE98A;
1678
32'hFFFF1D82: romout <= 16'h8401;
1679
32'hFFFF1D84: romout <= 16'h6000;
1680
32'hFFFF1D86: romout <= 16'hFF44;
1681
32'hFFFF1D88: romout <= 16'h6100;
1682
32'hFFFF1D8A: romout <= 16'h0034;
1683
32'hFFFF1D8C: romout <= 16'h60B6;
1684
32'hFFFF1D8E: romout <= 16'h6100;
1685
32'hFFFF1D90: romout <= 16'h003C;
1686
32'hFFFF1D92: romout <= 16'h60B0;
1687
32'hFFFF1D94: romout <= 16'h6100;
1688
32'hFFFF1D96: romout <= 16'h0044;
1689
32'hFFFF1D98: romout <= 16'h60AA;
1690
32'hFFFF1D9A: romout <= 16'h6100;
1691
32'hFFFF1D9C: romout <= 16'h003E;
1692
32'hFFFF1D9E: romout <= 16'h21C9;
1693
32'hFFFF1DA0: romout <= 16'h0800;
1694
32'hFFFF1DA2: romout <= 16'h6000;
1695
32'hFFFF1DA4: romout <= 16'hFCD6;
1696
32'hFFFF1DA6: romout <= 16'h6100;
1697
32'hFFFF1DA8: romout <= 16'h0024;
1698
32'hFFFF1DAA: romout <= 16'h21C9;
1699
32'hFFFF1DAC: romout <= 16'h0800;
1700
32'hFFFF1DAE: romout <= 16'h6000;
1701
32'hFFFF1DB0: romout <= 16'hFCCA;
1702
32'hFFFF1DB2: romout <= 16'h6100;
1703
32'hFFFF1DB4: romout <= 16'h000A;
1704
32'hFFFF1DB6: romout <= 16'h21C9;
1705
32'hFFFF1DB8: romout <= 16'h0800;
1706
32'hFFFF1DBA: romout <= 16'h6000;
1707
32'hFFFF1DBC: romout <= 16'hFCBE;
1708
32'hFFFF1DBE: romout <= 16'h4282;
1709
32'hFFFF1DC0: romout <= 16'h6100;
1710
32'hFFFF1DC2: romout <= 16'h007E;
1711
32'hFFFF1DC4: romout <= 16'h6100;
1712
32'hFFFF1DC6: romout <= 16'hFEC0;
1713
32'hFFFF1DC8: romout <= 16'h1401;
1714
32'hFFFF1DCA: romout <= 16'h604A;
1715
32'hFFFF1DCC: romout <= 16'h4282;
1716
32'hFFFF1DCE: romout <= 16'h6100;
1717
32'hFFFF1DD0: romout <= 16'h0070;
1718
32'hFFFF1DD2: romout <= 16'h6100;
1719
32'hFFFF1DD4: romout <= 16'hFEB2;
1720
32'hFFFF1DD6: romout <= 16'h1401;
1721
32'hFFFF1DD8: romout <= 16'h6024;
1722
32'hFFFF1DDA: romout <= 16'h4282;
1723
32'hFFFF1DDC: romout <= 16'h6100;
1724
32'hFFFF1DDE: romout <= 16'h0062;
1725
32'hFFFF1DE0: romout <= 16'h6100;
1726
32'hFFFF1DE2: romout <= 16'hFEA4;
1727
32'hFFFF1DE4: romout <= 16'h1401;
1728
32'hFFFF1DE6: romout <= 16'h6100;
1729
32'hFFFF1DE8: romout <= 16'h0058;
1730
32'hFFFF1DEA: romout <= 16'h6100;
1731
32'hFFFF1DEC: romout <= 16'hFE9A;
1732
32'hFFFF1DEE: romout <= 16'hE98A;
1733
32'hFFFF1DF0: romout <= 16'h8401;
1734
32'hFFFF1DF2: romout <= 16'h6100;
1735
32'hFFFF1DF4: romout <= 16'h004C;
1736
32'hFFFF1DF6: romout <= 16'h6100;
1737
32'hFFFF1DF8: romout <= 16'hFE8E;
1738
32'hFFFF1DFA: romout <= 16'hE98A;
1739
32'hFFFF1DFC: romout <= 16'h8401;
1740
32'hFFFF1DFE: romout <= 16'h6100;
1741
32'hFFFF1E00: romout <= 16'h0040;
1742
32'hFFFF1E02: romout <= 16'h6100;
1743
32'hFFFF1E04: romout <= 16'hFE82;
1744
32'hFFFF1E06: romout <= 16'hE98A;
1745
32'hFFFF1E08: romout <= 16'h8401;
1746
32'hFFFF1E0A: romout <= 16'h6100;
1747
32'hFFFF1E0C: romout <= 16'h0034;
1748
32'hFFFF1E0E: romout <= 16'h6100;
1749
32'hFFFF1E10: romout <= 16'hFE76;
1750
32'hFFFF1E12: romout <= 16'hE98A;
1751
32'hFFFF1E14: romout <= 16'h8401;
1752
32'hFFFF1E16: romout <= 16'h6100;
1753
32'hFFFF1E18: romout <= 16'h0028;
1754
32'hFFFF1E1A: romout <= 16'h6100;
1755
32'hFFFF1E1C: romout <= 16'hFE6A;
1756
32'hFFFF1E1E: romout <= 16'hE98A;
1757
32'hFFFF1E20: romout <= 16'h8401;
1758
32'hFFFF1E22: romout <= 16'h6100;
1759
32'hFFFF1E24: romout <= 16'h001C;
1760
32'hFFFF1E26: romout <= 16'h6100;
1761
32'hFFFF1E28: romout <= 16'hFE5E;
1762
32'hFFFF1E2A: romout <= 16'hE98A;
1763
32'hFFFF1E2C: romout <= 16'h8401;
1764
32'hFFFF1E2E: romout <= 16'h6100;
1765
32'hFFFF1E30: romout <= 16'h0010;
1766
32'hFFFF1E32: romout <= 16'h6100;
1767
32'hFFFF1E34: romout <= 16'hFE52;
1768
32'hFFFF1E36: romout <= 16'hE98A;
1769
32'hFFFF1E38: romout <= 16'h8401;
1770
32'hFFFF1E3A: romout <= 16'h4284;
1771
32'hFFFF1E3C: romout <= 16'h2242;
1772
32'hFFFF1E3E: romout <= 16'h4E75;
1773
32'hFFFF1E40: romout <= 16'h6100;
1774
32'hFFFF1E42: romout <= 16'hF898;
1775
32'hFFFF1E44: romout <= 16'h670C;
1776
32'hFFFF1E46: romout <= 16'h6100;
1777
32'hFFFF1E48: romout <= 16'hF810;
1778
32'hFFFF1E4A: romout <= 16'h0C01;
1779
32'hFFFF1E4C: romout <= 16'h0003;
1780
32'hFFFF1E4E: romout <= 16'h6700;
1781
32'hFFFF1E50: romout <= 16'hFC2A;
1782
32'hFFFF1E52: romout <= 16'h6100;
1783
32'hFFFF1E54: romout <= 16'h1288;
1784
32'hFFFF1E56: romout <= 16'h67E8;
1785
32'hFFFF1E58: romout <= 16'h1200;
1786
32'hFFFF1E5A: romout <= 16'h4E75;
1787
32'hFFFF1E5C: romout <= 16'h33FC;
1788
32'hFFFF1E5E: romout <= 16'h000F;
1789
32'hFFFF1E60: romout <= 16'hFFD4;
1790
32'hFFFF1E62: romout <= 16'h0040;
1791
32'hFFFF1E64: romout <= 16'h33FC;
1792
32'hFFFF1E66: romout <= 16'h411B;
1793
32'hFFFF1E68: romout <= 16'hFFD4;
1794
32'hFFFF1E6A: romout <= 16'h0000;
1795
32'hFFFF1E6C: romout <= 16'h4279;
1796
32'hFFFF1E6E: romout <= 16'hFFD4;
1797
32'hFFFF1E70: romout <= 16'h0002;
1798
32'hFFFF1E72: romout <= 16'h4279;
1799
32'hFFFF1E74: romout <= 16'hFFD4;
1800
32'hFFFF1E76: romout <= 16'h0008;
1801
32'hFFFF1E78: romout <= 16'h4279;
1802
32'hFFFF1E7A: romout <= 16'hFFD4;
1803
32'hFFFF1E7C: romout <= 16'h000A;
1804
32'hFFFF1E7E: romout <= 16'h33FC;
1805
32'hFFFF1E80: romout <= 16'h00FF;
1806
32'hFFFF1E82: romout <= 16'hFFD4;
1807
32'hFFFF1E84: romout <= 16'h000C;
1808
32'hFFFF1E86: romout <= 16'h4279;
1809
32'hFFFF1E88: romout <= 16'hFFD4;
1810
32'hFFFF1E8A: romout <= 16'h000E;
1811
32'hFFFF1E8C: romout <= 16'h33FC;
1812
32'hFFFF1E8E: romout <= 16'h1104;
1813
32'hFFFF1E90: romout <= 16'hFFD4;
1814
32'hFFFF1E92: romout <= 16'h0004;
1815
32'hFFFF1E94: romout <= 16'h203C;
1816
32'hFFFF1E96: romout <= 16'h007A;
1817
32'hFFFF1E98: romout <= 16'h1200;
1818
32'hFFFF1E9A: romout <= 16'h5380;
1819
32'hFFFF1E9C: romout <= 16'h66FC;
1820
32'hFFFF1E9E: romout <= 16'h4279;
1821
32'hFFFF1EA0: romout <= 16'hFFD4;
1822
32'hFFFF1EA2: romout <= 16'h0004;
1823
32'hFFFF1EA4: romout <= 16'h33FC;
1824
32'hFFFF1EA6: romout <= 16'h0000;
1825
32'hFFFF1EA8: romout <= 16'hFFD4;
1826
32'hFFFF1EAA: romout <= 16'h0040;
1827
32'hFFFF1EAC: romout <= 16'h4E75;
1828
32'hFFFF1EAE: romout <= 16'h303C;
1829
32'hFFFF1EB0: romout <= 16'h5151;
1830
32'hFFFF1EB2: romout <= 16'h33C0;
1831
32'hFFFF1EB4: romout <= 16'hFFDC;
1832
32'hFFFF1EB6: romout <= 16'h0300;
1833
32'hFFFF1EB8: romout <= 16'h0839;
1834
32'hFFFF1EBA: romout <= 16'h0007;
1835
32'hFFFF1EBC: romout <= 16'hFFDC;
1836
32'hFFFF1EBE: romout <= 16'h0303;
1837
32'hFFFF1EC0: romout <= 16'h66F6;
1838
32'hFFFF1EC2: romout <= 16'h203C;
1839
32'hFFFF1EC4: romout <= 16'h007A;
1840
32'hFFFF1EC6: romout <= 16'h1200;
1841
32'hFFFF1EC8: romout <= 16'h5380;
1842
32'hFFFF1ECA: romout <= 16'h66FC;
1843
32'hFFFF1ECC: romout <= 16'h303C;
1844
32'hFFFF1ECE: romout <= 16'hACAC;
1845
32'hFFFF1ED0: romout <= 16'h33C0;
1846
32'hFFFF1ED2: romout <= 16'hFFDC;
1847
32'hFFFF1ED4: romout <= 16'h0300;
1848
32'hFFFF1ED6: romout <= 16'h0839;
1849
32'hFFFF1ED8: romout <= 16'h0007;
1850
32'hFFFF1EDA: romout <= 16'hFFDC;
1851
32'hFFFF1EDC: romout <= 16'h0303;
1852
32'hFFFF1EDE: romout <= 16'h66F6;
1853
32'hFFFF1EE0: romout <= 16'h3039;
1854
32'hFFFF1EE2: romout <= 16'hFFDC;
1855
32'hFFFF1EE4: romout <= 16'h0302;
1856
32'hFFFF1EE6: romout <= 16'h4840;
1857
32'hFFFF1EE8: romout <= 16'h303C;
1858
32'hFFFF1EEA: romout <= 16'hAAAA;
1859
32'hFFFF1EEC: romout <= 16'h33C0;
1860
32'hFFFF1EEE: romout <= 16'hFFDC;
1861
32'hFFFF1EF0: romout <= 16'h0300;
1862
32'hFFFF1EF2: romout <= 16'h0839;
1863
32'hFFFF1EF4: romout <= 16'h0007;
1864
32'hFFFF1EF6: romout <= 16'hFFDC;
1865
32'hFFFF1EF8: romout <= 16'h0303;
1866
32'hFFFF1EFA: romout <= 16'h66F6;
1867
32'hFFFF1EFC: romout <= 16'h3039;
1868
32'hFFFF1EFE: romout <= 16'hFFDC;
1869
32'hFFFF1F00: romout <= 16'h0302;
1870
32'hFFFF1F02: romout <= 16'h4E75;
1871
32'hFFFF1F04: romout <= 16'h48E7;
1872
32'hFFFF1F06: romout <= 16'hC044;
1873
32'hFFFF1F08: romout <= 16'h2A7C;
1874
32'hFFFF1F0A: romout <= 16'h0000;
1875
32'hFFFF1F0C: romout <= 16'h0700;
1876
32'hFFFF1F0E: romout <= 16'h2001;
1877
32'hFFFF1F10: romout <= 16'h6100;
1878
32'hFFFF1F12: romout <= 16'h122C;
1879
32'hFFFF1F14: romout <= 16'h227C;
1880
32'hFFFF1F16: romout <= 16'h0000;
1881
32'hFFFF1F18: romout <= 16'h0700;
1882
32'hFFFF1F1A: romout <= 16'h6100;
1883
32'hFFFF1F1C: romout <= 16'hF93C;
1884
32'hFFFF1F1E: romout <= 16'h4CDF;
1885
32'hFFFF1F20: romout <= 16'h2203;
1886
32'hFFFF1F22: romout <= 16'h4E75;
1887
32'hFFFF1F24: romout <= 16'h48E7;
1888
32'hFFFF1F26: romout <= 16'hB000;
1889
32'hFFFF1F28: romout <= 16'h343C;
1890
32'hFFFF1F2A: romout <= 16'h0007;
1891
32'hFFFF1F2C: romout <= 16'h1001;
1892
32'hFFFF1F2E: romout <= 16'h0240;
1893
32'hFFFF1F30: romout <= 16'h000F;
1894
32'hFFFF1F32: romout <= 16'h0C40;
1895
32'hFFFF1F34: romout <= 16'h0009;
1896
32'hFFFF1F36: romout <= 16'h6302;
1897
32'hFFFF1F38: romout <= 16'h5E40;
1898
32'hFFFF1F3A: romout <= 16'h0640;
1899
32'hFFFF1F3C: romout <= 16'h0130;
1900
32'hFFFF1F3E: romout <= 16'h3602;
1901
32'hFFFF1F40: romout <= 16'hE343;
1902
32'hFFFF1F42: romout <= 16'h3380;
1903
32'hFFFF1F44: romout <= 16'h3000;
1904
32'hFFFF1F46: romout <= 16'hE899;
1905
32'hFFFF1F48: romout <= 16'h57CA;
1906
32'hFFFF1F4A: romout <= 16'hFFE2;
1907
32'hFFFF1F4C: romout <= 16'h4CDF;
1908
32'hFFFF1F4E: romout <= 16'h000D;
1909
32'hFFFF1F50: romout <= 16'h4E75;
1910
32'hFFFF1F52: romout <= 16'h207C;
1911
32'hFFFF1F54: romout <= 16'h0000;
1912
32'hFFFF1F56: romout <= 16'h0008;
1913
32'hFFFF1F58: romout <= 16'h203C;
1914
32'hFFFF1F5A: romout <= 16'hAAAA;
1915
32'hFFFF1F5C: romout <= 16'h5555;
1916
32'hFFFF1F5E: romout <= 16'h43F9;
1917
32'hFFFF1F60: romout <= 16'hFFD0;
1918
32'hFFFF1F62: romout <= 16'h0014;
1919
32'hFFFF1F64: romout <= 16'h2080;
1920
32'hFFFF1F66: romout <= 16'hB098;
1921
32'hFFFF1F68: romout <= 16'h6614;
1922
32'hFFFF1F6A: romout <= 16'h2208;
1923
32'hFFFF1F6C: romout <= 16'h4A41;
1924
32'hFFFF1F6E: romout <= 16'h6606;
1925
32'hFFFF1F70: romout <= 16'h4EB9;
1926
32'hFFFF1F72: romout <= 16'hFFFF;
1927
32'hFFFF1F74: romout <= 16'h1F24;
1928
32'hFFFF1F76: romout <= 16'hB1FC;
1929
32'hFFFF1F78: romout <= 16'h00FF;
1930
32'hFFFF1F7A: romout <= 16'hFFFC;
1931
32'hFFFF1F7C: romout <= 16'h65E6;
1932
32'hFFFF1F7E: romout <= 16'h2448;
1933
32'hFFFF1F80: romout <= 16'h207C;
1934
32'hFFFF1F82: romout <= 16'h0000;
1935
32'hFFFF1F84: romout <= 16'h0008;
1936
32'hFFFF1F86: romout <= 16'h2018;
1937
32'hFFFF1F88: romout <= 16'h2208;
1938
32'hFFFF1F8A: romout <= 16'h4A41;
1939
32'hFFFF1F8C: romout <= 16'h6606;
1940
32'hFFFF1F8E: romout <= 16'h4EB9;
1941
32'hFFFF1F90: romout <= 16'hFFFF;
1942
32'hFFFF1F92: romout <= 16'h1F24;
1943
32'hFFFF1F94: romout <= 16'h0C80;
1944
32'hFFFF1F96: romout <= 16'hAAAA;
1945
32'hFFFF1F98: romout <= 16'h5555;
1946
32'hFFFF1F9A: romout <= 16'h67EA;
1947
32'hFFFF1F9C: romout <= 16'hB5C8;
1948
32'hFFFF1F9E: romout <= 16'h6668;
1949
32'hFFFF1FA0: romout <= 16'h207C;
1950
32'hFFFF1FA2: romout <= 16'h0000;
1951
32'hFFFF1FA4: romout <= 16'h0008;
1952
32'hFFFF1FA6: romout <= 16'h203C;
1953
32'hFFFF1FA8: romout <= 16'h5555;
1954
32'hFFFF1FAA: romout <= 16'hAAAA;
1955
32'hFFFF1FAC: romout <= 16'h2080;
1956
32'hFFFF1FAE: romout <= 16'hB098;
1957
32'hFFFF1FB0: romout <= 16'h6614;
1958
32'hFFFF1FB2: romout <= 16'h2208;
1959
32'hFFFF1FB4: romout <= 16'h4A41;
1960
32'hFFFF1FB6: romout <= 16'h6606;
1961
32'hFFFF1FB8: romout <= 16'h4EB9;
1962
32'hFFFF1FBA: romout <= 16'hFFFF;
1963
32'hFFFF1FBC: romout <= 16'h1F24;
1964
32'hFFFF1FBE: romout <= 16'hB1FC;
1965
32'hFFFF1FC0: romout <= 16'h00FF;
1966
32'hFFFF1FC2: romout <= 16'hFFFC;
1967
32'hFFFF1FC4: romout <= 16'h65E6;
1968
32'hFFFF1FC6: romout <= 16'h2448;
1969
32'hFFFF1FC8: romout <= 16'h207C;
1970
32'hFFFF1FCA: romout <= 16'h0000;
1971
32'hFFFF1FCC: romout <= 16'h0008;
1972
32'hFFFF1FCE: romout <= 16'h2018;
1973
32'hFFFF1FD0: romout <= 16'h2208;
1974
32'hFFFF1FD2: romout <= 16'h4A41;
1975
32'hFFFF1FD4: romout <= 16'h6606;
1976
32'hFFFF1FD6: romout <= 16'h4EB9;
1977
32'hFFFF1FD8: romout <= 16'hFFFF;
1978
32'hFFFF1FDA: romout <= 16'h1F24;
1979
32'hFFFF1FDC: romout <= 16'h0C80;
1980
32'hFFFF1FDE: romout <= 16'h5555;
1981
32'hFFFF1FE0: romout <= 16'hAAAA;
1982
32'hFFFF1FE2: romout <= 16'h67EA;
1983
32'hFFFF1FE4: romout <= 16'hB5C8;
1984
32'hFFFF1FE6: romout <= 16'h6620;
1985
32'hFFFF1FE8: romout <= 16'h21C8;
1986
32'hFFFF1FEA: romout <= 16'h0500;
1987
32'hFFFF1FEC: romout <= 16'h91FC;
1988
32'hFFFF1FEE: romout <= 16'h0000;
1989
32'hFFFF1FF0: romout <= 16'h000C;
1990
32'hFFFF1FF2: romout <= 16'h21C8;
1991
32'hFFFF1FF4: romout <= 16'h0404;
1992
32'hFFFF1FF6: romout <= 16'h21FC;
1993
32'hFFFF1FF8: romout <= 16'h4652;
1994
32'hFFFF1FFA: romout <= 16'h4545;
1995
32'hFFFF1FFC: romout <= 16'h0400;
1996
32'hFFFF1FFE: romout <= 16'h21FC;
1997
32'hFFFF2000: romout <= 16'h0000;
1998
32'hFFFF2002: romout <= 16'h0408;
1999
32'hFFFF2004: romout <= 16'h0408;
2000
32'hFFFF2006: romout <= 16'h4ED3;
2001
32'hFFFF2008: romout <= 16'h4ED3;
2002
32'hFFFF200A: romout <= 16'h60FC;
2003
32'hFFFF200C: romout <= 16'h48E7;
2004
32'hFFFF200E: romout <= 16'hF0C0;
2005
32'hFFFF2010: romout <= 16'h43F9;
2006
32'hFFFF2012: romout <= 16'hFFFF;
2007
32'hFFFF2014: romout <= 16'h204E;
2008
32'hFFFF2016: romout <= 16'h4EB9;
2009
32'hFFFF2018: romout <= 16'hFFFF;
2010
32'hFFFF201A: romout <= 16'h1858;
2011
32'hFFFF201C: romout <= 16'h4CDF;
2012
32'hFFFF201E: romout <= 16'h030F;
2013
32'hFFFF2020: romout <= 16'h4E73;
2014
32'hFFFF2022: romout <= 16'h48E7;
2015
32'hFFFF2024: romout <= 16'hF0C0;
2016
32'hFFFF2026: romout <= 16'h43F9;
2017
32'hFFFF2028: romout <= 16'hFFFF;
2018
32'hFFFF202A: romout <= 16'h205C;
2019
32'hFFFF202C: romout <= 16'h4EB9;
2020
32'hFFFF202E: romout <= 16'hFFFF;
2021
32'hFFFF2030: romout <= 16'h1858;
2022
32'hFFFF2032: romout <= 16'h4CDF;
2023
32'hFFFF2034: romout <= 16'h030F;
2024
32'hFFFF2036: romout <= 16'h4E73;
2025
32'hFFFF2038: romout <= 16'h48E7;
2026
32'hFFFF203A: romout <= 16'hF0C0;
2027
32'hFFFF203C: romout <= 16'h43F9;
2028
32'hFFFF203E: romout <= 16'hFFFF;
2029
32'hFFFF2040: romout <= 16'h2066;
2030
32'hFFFF2042: romout <= 16'h4EB9;
2031
32'hFFFF2044: romout <= 16'hFFFF;
2032
32'hFFFF2046: romout <= 16'h1858;
2033
32'hFFFF2048: romout <= 16'h4CDF;
2034
32'hFFFF204A: romout <= 16'h030F;
2035
32'hFFFF204C: romout <= 16'h4E73;
2036
32'hFFFF204E: romout <= 16'h4164;
2037
32'hFFFF2050: romout <= 16'h6472;
2038
32'hFFFF2052: romout <= 16'h6573;
2039
32'hFFFF2054: romout <= 16'h7320;
2040
32'hFFFF2056: romout <= 16'h6572;
2041
32'hFFFF2058: romout <= 16'h726F;
2042
32'hFFFF205A: romout <= 16'h7200;
2043
32'hFFFF205C: romout <= 16'h4275;
2044
32'hFFFF205E: romout <= 16'h7320;
2045
32'hFFFF2060: romout <= 16'h6572;
2046
32'hFFFF2062: romout <= 16'h726F;
2047
32'hFFFF2064: romout <= 16'h7200;
2048
32'hFFFF2066: romout <= 16'h496C;
2049
32'hFFFF2068: romout <= 16'h6C65;
2050
32'hFFFF206A: romout <= 16'h6761;
2051
32'hFFFF206C: romout <= 16'h6C20;
2052
32'hFFFF206E: romout <= 16'h696E;
2053
32'hFFFF2070: romout <= 16'h7374;
2054
32'hFFFF2072: romout <= 16'h7275;
2055
32'hFFFF2074: romout <= 16'h6374;
2056
32'hFFFF2076: romout <= 16'h696F;
2057
32'hFFFF2078: romout <= 16'h6E00;
2058
32'hFFFF207A: romout <= 16'h4469;
2059
32'hFFFF207C: romout <= 16'h7669;
2060
32'hFFFF207E: romout <= 16'h6465;
2061
32'hFFFF2080: romout <= 16'h2062;
2062
32'hFFFF2082: romout <= 16'h7920;
2063
32'hFFFF2084: romout <= 16'h7A65;
2064
32'hFFFF2086: romout <= 16'h726F;
2065
32'hFFFF2400: romout <= 16'h6000;
2066
32'hFFFF2402: romout <= 16'h0022;
2067
32'hFFFF2404: romout <= 16'h6000;
2068
32'hFFFF2406: romout <= 16'h005A;
2069
32'hFFFF2408: romout <= 16'h6000;
2070
32'hFFFF240A: romout <= 16'h0C96;
2071
32'hFFFF240C: romout <= 16'h6000;
2072
32'hFFFF240E: romout <= 16'h0CA4;
2073
32'hFFFF2410: romout <= 16'h6000;
2074
32'hFFFF2412: romout <= 16'h0CB8;
2075
32'hFFFF2414: romout <= 16'h6000;
2076
32'hFFFF2416: romout <= 16'h0CC6;
2077
32'hFFFF2418: romout <= 16'h6000;
2078
32'hFFFF241A: romout <= 16'h0CD8;
2079
32'hFFFF241C: romout <= 16'h00C0;
2080
32'hFFFF241E: romout <= 16'h0000;
2081
32'hFFFF2420: romout <= 16'h00F0;
2082
32'hFFFF2422: romout <= 16'h0000;
2083
32'hFFFF2424: romout <= 16'h41F9;
2084
32'hFFFF2426: romout <= 16'hFFFF;
2085
32'hFFFF2428: romout <= 16'h2400;
2086
32'hFFFF242A: romout <= 16'h21C8;
2087
32'hFFFF242C: romout <= 16'h0600;
2088
32'hFFFF242E: romout <= 16'h2E79;
2089
32'hFFFF2430: romout <= 16'hFFFF;
2090
32'hFFFF2432: romout <= 16'h2420;
2091
32'hFFFF2434: romout <= 16'h4DF9;
2092
32'hFFFF2436: romout <= 16'hFFFF;
2093
32'hFFFF2438: romout <= 16'h30F8;
2094
32'hFFFF243A: romout <= 16'h6100;
2095
32'hFFFF243C: romout <= 16'h0C58;
2096
32'hFFFF243E: romout <= 16'h21F9;
2097
32'hFFFF2440: romout <= 16'hFFFF;
2098
32'hFFFF2442: romout <= 16'h241C;
2099
32'hFFFF2444: romout <= 16'h0624;
2100
32'hFFFF2446: romout <= 16'h2039;
2101
32'hFFFF2448: romout <= 16'hFFFF;
2102
32'hFFFF244A: romout <= 16'h2420;
2103
32'hFFFF244C: romout <= 16'h0480;
2104
32'hFFFF244E: romout <= 16'h0000;
2105
32'hFFFF2450: romout <= 16'h0800;
2106
32'hFFFF2452: romout <= 16'h21C0;
2107
32'hFFFF2454: romout <= 16'h062C;
2108
32'hFFFF2456: romout <= 16'h0480;
2109
32'hFFFF2458: romout <= 16'h0000;
2110
32'hFFFF245A: romout <= 16'h1008;
2111
32'hFFFF245C: romout <= 16'h21C0;
2112
32'hFFFF245E: romout <= 16'h0628;
2113
32'hFFFF2460: romout <= 16'h4280;
2114
32'hFFFF2462: romout <= 16'h21C0;
2115
32'hFFFF2464: romout <= 16'h0610;
2116
32'hFFFF2466: romout <= 16'h21C0;
2117
32'hFFFF2468: romout <= 16'h0608;
2118
32'hFFFF246A: romout <= 16'h21C0;
2119
32'hFFFF246C: romout <= 16'h0604;
2120
32'hFFFF246E: romout <= 16'h2E79;
2121
32'hFFFF2470: romout <= 16'hFFFF;
2122
32'hFFFF2472: romout <= 16'h2420;
2123
32'hFFFF2474: romout <= 16'h4DF9;
2124
32'hFFFF2476: romout <= 16'hFFFF;
2125
32'hFFFF2478: romout <= 16'h311E;
2126
32'hFFFF247A: romout <= 16'h6100;
2127
32'hFFFF247C: romout <= 16'h0C18;
2128
32'hFFFF247E: romout <= 16'h103C;
2129
32'hFFFF2480: romout <= 16'h003E;
2130
32'hFFFF2482: romout <= 16'h6100;
2131
32'hFFFF2484: romout <= 16'h0976;
2132
32'hFFFF2486: romout <= 16'h6100;
2133
32'hFFFF2488: romout <= 16'h0BA8;
2134
32'hFFFF248A: romout <= 16'h2848;
2135
32'hFFFF248C: romout <= 16'h41F8;
2136
32'hFFFF248E: romout <= 16'h0630;
2137
32'hFFFF2490: romout <= 16'h6100;
2138
32'hFFFF2492: romout <= 16'h0B5A;
2139
32'hFFFF2494: romout <= 16'h6100;
2140
32'hFFFF2496: romout <= 16'h0B8E;
2141
32'hFFFF2498: romout <= 16'h4A81;
2142
32'hFFFF249A: romout <= 16'h6700;
2143
32'hFFFF249C: romout <= 16'h0152;
2144
32'hFFFF249E: romout <= 16'hB2BC;
2145
32'hFFFF24A0: romout <= 16'h0000;
2146
32'hFFFF24A2: romout <= 16'hFFFF;
2147
32'hFFFF24A4: romout <= 16'h6400;
2148
32'hFFFF24A6: romout <= 16'h094A;
2149
32'hFFFF24A8: romout <= 16'h1101;
2150
32'hFFFF24AA: romout <= 16'hE099;
2151
32'hFFFF24AC: romout <= 16'h1101;
2152
32'hFFFF24AE: romout <= 16'hE199;
2153
32'hFFFF24B0: romout <= 16'h6100;
2154
32'hFFFF24B2: romout <= 16'h09E8;
2155
32'hFFFF24B4: romout <= 16'h2A49;
2156
32'hFFFF24B6: romout <= 16'h6612;
2157
32'hFFFF24B8: romout <= 16'h6100;
2158
32'hFFFF24BA: romout <= 16'h0A08;
2159
32'hFFFF24BC: romout <= 16'h244D;
2160
32'hFFFF24BE: romout <= 16'h2678;
2161
32'hFFFF24C0: romout <= 16'h0624;
2162
32'hFFFF24C2: romout <= 16'h6100;
2163
32'hFFFF24C4: romout <= 16'h0A08;
2164
32'hFFFF24C6: romout <= 16'h21CA;
2165
32'hFFFF24C8: romout <= 16'h0624;
2166
32'hFFFF24CA: romout <= 16'h200C;
2167
32'hFFFF24CC: romout <= 16'h9088;
2168
32'hFFFF24CE: romout <= 16'hB0BC;
2169
32'hFFFF24D0: romout <= 16'h0000;
2170
32'hFFFF24D2: romout <= 16'h0003;
2171
32'hFFFF24D4: romout <= 16'h67A8;
2172
32'hFFFF24D6: romout <= 16'h2678;
2173
32'hFFFF24D8: romout <= 16'h0624;
2174
32'hFFFF24DA: romout <= 16'h2C4B;
2175
32'hFFFF24DC: romout <= 16'hD7C0;
2176
32'hFFFF24DE: romout <= 16'h2038;
2177
32'hFFFF24E0: romout <= 16'h0628;
2178
32'hFFFF24E2: romout <= 16'hB08B;
2179
32'hFFFF24E4: romout <= 16'h6300;
2180
32'hFFFF24E6: romout <= 16'h0900;
2181
32'hFFFF24E8: romout <= 16'h21CB;
2182
32'hFFFF24EA: romout <= 16'h0624;
2183
32'hFFFF24EC: romout <= 16'h224E;
2184
32'hFFFF24EE: romout <= 16'h244D;
2185
32'hFFFF24F0: romout <= 16'h6100;
2186
32'hFFFF24F2: romout <= 16'h09E4;
2187
32'hFFFF24F4: romout <= 16'h2248;
2188
32'hFFFF24F6: romout <= 16'h244D;
2189
32'hFFFF24F8: romout <= 16'h264C;
2190
32'hFFFF24FA: romout <= 16'h6100;
2191
32'hFFFF24FC: romout <= 16'h09D0;
2192
32'hFFFF24FE: romout <= 16'h6000;
2193
32'hFFFF2500: romout <= 16'hFF7E;
2194
32'hFFFF2502: romout <= 16'h4C49;
2195
32'hFFFF2504: romout <= 16'h53D4;
2196
32'hFFFF2506: romout <= 16'h4C4F;
2197
32'hFFFF2508: romout <= 16'h41C4;
2198
32'hFFFF250A: romout <= 16'h4E45;
2199
32'hFFFF250C: romout <= 16'hD752;
2200
32'hFFFF250E: romout <= 16'h55CE;
2201
32'hFFFF2510: romout <= 16'h5341;
2202
32'hFFFF2512: romout <= 16'h56C5;
2203
32'hFFFF2514: romout <= 16'h434C;
2204
32'hFFFF2516: romout <= 16'hD34E;
2205
32'hFFFF2518: romout <= 16'h4558;
2206
32'hFFFF251A: romout <= 16'hD44C;
2207
32'hFFFF251C: romout <= 16'h45D4;
2208
32'hFFFF251E: romout <= 16'h49C6;
2209
32'hFFFF2520: romout <= 16'h474F;
2210
32'hFFFF2522: romout <= 16'h54CF;
2211
32'hFFFF2524: romout <= 16'h474F;
2212
32'hFFFF2526: romout <= 16'h5355;
2213
32'hFFFF2528: romout <= 16'hC252;
2214
32'hFFFF252A: romout <= 16'h4554;
2215
32'hFFFF252C: romout <= 16'h5552;
2216
32'hFFFF252E: romout <= 16'hCE52;
2217
32'hFFFF2530: romout <= 16'h45CD;
2218
32'hFFFF2532: romout <= 16'h464F;
2219
32'hFFFF2534: romout <= 16'hD249;
2220
32'hFFFF2536: romout <= 16'h4E50;
2221
32'hFFFF2538: romout <= 16'h55D4;
2222
32'hFFFF253A: romout <= 16'h5052;
2223
32'hFFFF253C: romout <= 16'h494E;
2224
32'hFFFF253E: romout <= 16'hD450;
2225
32'hFFFF2540: romout <= 16'h4F4B;
2226
32'hFFFF2542: romout <= 16'hC553;
2227
32'hFFFF2544: romout <= 16'h544F;
2228
32'hFFFF2546: romout <= 16'hD042;
2229
32'hFFFF2548: romout <= 16'h59C5;
2230
32'hFFFF254A: romout <= 16'h4341;
2231
32'hFFFF254C: romout <= 16'h4CCC;
2232
32'hFFFF254E: romout <= 16'h4C49;
2233
32'hFFFF2550: romout <= 16'h4EC5;
2234
32'hFFFF2552: romout <= 16'h504F;
2235
32'hFFFF2554: romout <= 16'h494E;
2236
32'hFFFF2556: romout <= 16'hD450;
2237
32'hFFFF2558: romout <= 16'h454E;
2238
32'hFFFF255A: romout <= 16'h434F;
2239
32'hFFFF255C: romout <= 16'h4C4F;
2240
32'hFFFF255E: romout <= 16'hD246;
2241
32'hFFFF2560: romout <= 16'h494C;
2242
32'hFFFF2562: romout <= 16'h4C43;
2243
32'hFFFF2564: romout <= 16'h4F4C;
2244
32'hFFFF2566: romout <= 16'h4FD2;
2245
32'hFFFF2568: romout <= 16'h0050;
2246
32'hFFFF256A: romout <= 16'h4545;
2247
32'hFFFF256C: romout <= 16'hCB52;
2248
32'hFFFF256E: romout <= 16'h4EC4;
2249
32'hFFFF2570: romout <= 16'h4142;
2250
32'hFFFF2572: romout <= 16'hD353;
2251
32'hFFFF2574: romout <= 16'h495A;
2252
32'hFFFF2576: romout <= 16'hC554;
2253
32'hFFFF2578: romout <= 16'h4943;
2254
32'hFFFF257A: romout <= 16'hCB54;
2255
32'hFFFF257C: romout <= 16'h454D;
2256
32'hFFFF257E: romout <= 16'hD053;
2257
32'hFFFF2580: romout <= 16'h47CE;
2258
32'hFFFF2582: romout <= 16'h0054;
2259
32'hFFFF2584: romout <= 16'hCF00;
2260
32'hFFFF2586: romout <= 16'h5354;
2261
32'hFFFF2588: romout <= 16'h45D0;
2262
32'hFFFF258A: romout <= 16'h003E;
2263
32'hFFFF258C: romout <= 16'hBD3C;
2264
32'hFFFF258E: romout <= 16'hBEBE;
2265
32'hFFFF2590: romout <= 16'hBD3C;
2266
32'hFFFF2592: romout <= 16'hBDBC;
2267
32'hFFFF2594: romout <= 16'h00FF;
2268
32'hFFFF2596: romout <= 16'h26B4;
2269
32'hFFFF2598: romout <= 16'h28F6;
2270
32'hFFFF259A: romout <= 16'h264E;
2271
32'hFFFF259C: romout <= 16'h2662;
2272
32'hFFFF259E: romout <= 16'h295A;
2273
32'hFFFF25A0: romout <= 16'h263C;
2274
32'hFFFF25A2: romout <= 16'h2802;
2275
32'hFFFF25A4: romout <= 16'h28E6;
2276
32'hFFFF25A6: romout <= 16'h2852;
2277
32'hFFFF25A8: romout <= 16'h26A0;
2278
32'hFFFF25AA: romout <= 16'h274A;
2279
32'hFFFF25AC: romout <= 16'h2772;
2280
32'hFFFF25AE: romout <= 16'h2850;
2281
32'hFFFF25B0: romout <= 16'h2790;
2282
32'hFFFF25B2: romout <= 16'h2878;
2283
32'hFFFF25B4: romout <= 16'h26E0;
2284
32'hFFFF25B6: romout <= 16'h29DE;
2285
32'hFFFF25B8: romout <= 16'h265A;
2286
32'hFFFF25BA: romout <= 16'h2418;
2287
32'hFFFF25BC: romout <= 16'h2A94;
2288
32'hFFFF25BE: romout <= 16'h2A32;
2289
32'hFFFF25C0: romout <= 16'h29FA;
2290
32'hFFFF25C2: romout <= 16'h2A16;
2291
32'hFFFF25C4: romout <= 16'h2A24;
2292
32'hFFFF25C6: romout <= 16'h28E0;
2293
32'hFFFF25C8: romout <= 16'h2CEE;
2294
32'hFFFF25CA: romout <= 16'h2CFA;
2295
32'hFFFF25CC: romout <= 16'h2D26;
2296
32'hFFFF25CE: romout <= 16'h2D48;
2297
32'hFFFF25D0: romout <= 16'h2D52;
2298
32'hFFFF25D2: romout <= 16'h2D58;
2299
32'hFFFF25D4: romout <= 16'h2D36;
2300
32'hFFFF25D6: romout <= 16'h2BC8;
2301
32'hFFFF25D8: romout <= 16'h27AC;
2302
32'hFFFF25DA: romout <= 16'h2DA4;
2303
32'hFFFF25DC: romout <= 16'h27C4;
2304
32'hFFFF25DE: romout <= 16'h27CA;
2305
32'hFFFF25E0: romout <= 16'h2AC0;
2306
32'hFFFF25E2: romout <= 16'h2AC8;
2307
32'hFFFF25E4: romout <= 16'h2AD0;
2308
32'hFFFF25E6: romout <= 16'h2AE0;
2309
32'hFFFF25E8: romout <= 16'h2AD8;
2310
32'hFFFF25EA: romout <= 16'h2AEA;
2311
32'hFFFF25EC: romout <= 16'h2AFC;
2312
32'hFFFF25EE: romout <= 16'h43F9;
2313
32'hFFFF25F0: romout <= 16'hFFFF;
2314
32'hFFFF25F2: romout <= 16'h2502;
2315
32'hFFFF25F4: romout <= 16'h45F9;
2316
32'hFFFF25F6: romout <= 16'hFFFF;
2317
32'hFFFF25F8: romout <= 16'h2596;
2318
32'hFFFF25FA: romout <= 16'h6100;
2319
32'hFFFF25FC: romout <= 16'h0A28;
2320
32'hFFFF25FE: romout <= 16'h2648;
2321
32'hFFFF2600: romout <= 16'h4202;
2322
32'hFFFF2602: romout <= 16'h1018;
2323
32'hFFFF2604: romout <= 16'h1211;
2324
32'hFFFF2606: romout <= 16'h6604;
2325
32'hFFFF2608: romout <= 16'h204B;
2326
32'hFFFF260A: romout <= 16'h6024;
2327
32'hFFFF260C: romout <= 16'h1600;
2328
32'hFFFF260E: romout <= 16'hC602;
2329
32'hFFFF2610: romout <= 16'hB63C;
2330
32'hFFFF2612: romout <= 16'h002E;
2331
32'hFFFF2614: romout <= 16'h671A;
2332
32'hFFFF2616: romout <= 16'h0201;
2333
32'hFFFF2618: romout <= 16'h007F;
2334
32'hFFFF261A: romout <= 16'hB200;
2335
32'hFFFF261C: romout <= 16'h670C;
2336
32'hFFFF261E: romout <= 16'h548A;
2337
32'hFFFF2620: romout <= 16'h204B;
2338
32'hFFFF2622: romout <= 16'h4202;
2339
32'hFFFF2624: romout <= 16'h4A19;
2340
32'hFFFF2626: romout <= 16'h6AFC;
2341
32'hFFFF2628: romout <= 16'h60D8;
2342
32'hFFFF262A: romout <= 16'h74FF;
2343
32'hFFFF262C: romout <= 16'h4A19;
2344
32'hFFFF262E: romout <= 16'h6AD2;
2345
32'hFFFF2630: romout <= 16'h47F9;
2346
32'hFFFF2632: romout <= 16'hFFFF;
2347
32'hFFFF2634: romout <= 16'h0000;
2348
32'hFFFF2636: romout <= 16'h3452;
2349
32'hFFFF2638: romout <= 16'h4EF3;
2350
32'hFFFF263A: romout <= 16'hA000;
2351
32'hFFFF263C: romout <= 16'h4EB9;
2352
32'hFFFF263E: romout <= 16'hFFFF;
2353
32'hFFFF2640: romout <= 16'h18E8;
2354
32'hFFFF2642: romout <= 16'h4278;
2355
32'hFFFF2644: romout <= 16'h0418;
2356
32'hFFFF2646: romout <= 16'h4278;
2357
32'hFFFF2648: romout <= 16'h041A;
2358
32'hFFFF264A: romout <= 16'h6000;
2359
32'hFFFF264C: romout <= 16'hFE14;
2360
32'hFFFF264E: romout <= 16'h6100;
2361
32'hFFFF2650: romout <= 16'h0748;
2362
32'hFFFF2652: romout <= 16'h21F9;
2363
32'hFFFF2654: romout <= 16'hFFFF;
2364
32'hFFFF2656: romout <= 16'h241C;
2365
32'hFFFF2658: romout <= 16'h0624;
2366
32'hFFFF265A: romout <= 16'h6100;
2367
32'hFFFF265C: romout <= 16'h073C;
2368
32'hFFFF265E: romout <= 16'h6000;
2369
32'hFFFF2660: romout <= 16'hFE00;
2370
32'hFFFF2662: romout <= 16'h6100;
2371
32'hFFFF2664: romout <= 16'h0734;
2372
32'hFFFF2666: romout <= 16'h2079;
2373
32'hFFFF2668: romout <= 16'hFFFF;
2374
32'hFFFF266A: romout <= 16'h241C;
2375
32'hFFFF266C: romout <= 16'h21C8;
2376
32'hFFFF266E: romout <= 16'h0604;
2377
32'hFFFF2670: romout <= 16'h4AB8;
2378
32'hFFFF2672: romout <= 16'h0604;
2379
32'hFFFF2674: romout <= 16'h6700;
2380
32'hFFFF2676: romout <= 16'hFDEA;
2381
32'hFFFF2678: romout <= 16'h4281;
2382
32'hFFFF267A: romout <= 16'h2248;
2383
32'hFFFF267C: romout <= 16'h6100;
2384
32'hFFFF267E: romout <= 16'h082C;
2385
32'hFFFF2680: romout <= 16'h6500;
2386
32'hFFFF2682: romout <= 16'hFDDE;
2387
32'hFFFF2684: romout <= 16'h21C9;
2388
32'hFFFF2686: romout <= 16'h0604;
2389
32'hFFFF2688: romout <= 16'h2049;
2390
32'hFFFF268A: romout <= 16'h5488;
2391
32'hFFFF268C: romout <= 16'h6100;
2392
32'hFFFF268E: romout <= 16'h09EE;
2393
32'hFFFF2690: romout <= 16'h43F9;
2394
32'hFFFF2692: romout <= 16'hFFFF;
2395
32'hFFFF2694: romout <= 16'h2517;
2396
32'hFFFF2696: romout <= 16'h45F9;
2397
32'hFFFF2698: romout <= 16'hFFFF;
2398
32'hFFFF269A: romout <= 16'h25A2;
2399
32'hFFFF269C: romout <= 16'h6000;
2400
32'hFFFF269E: romout <= 16'hFF5C;
2401
32'hFFFF26A0: romout <= 16'h6100;
2402
32'hFFFF26A2: romout <= 16'h0408;
2403
32'hFFFF26A4: romout <= 16'h6100;
2404
32'hFFFF26A6: romout <= 16'h06F2;
2405
32'hFFFF26A8: romout <= 16'h2200;
2406
32'hFFFF26AA: romout <= 16'h6100;
2407
32'hFFFF26AC: romout <= 16'h07EE;
2408
32'hFFFF26AE: romout <= 16'h6600;
2409
32'hFFFF26B0: romout <= 16'h0740;
2410
32'hFFFF26B2: romout <= 16'h60D0;
2411
32'hFFFF26B4: romout <= 16'h6100;
2412
32'hFFFF26B6: romout <= 16'h0936;
2413
32'hFFFF26B8: romout <= 16'h6100;
2414
32'hFFFF26BA: romout <= 16'h06DE;
2415
32'hFFFF26BC: romout <= 16'h6100;
2416
32'hFFFF26BE: romout <= 16'h07DC;
2417
32'hFFFF26C0: romout <= 16'h6500;
2418
32'hFFFF26C2: romout <= 16'hFD9E;
2419
32'hFFFF26C4: romout <= 16'h6100;
2420
32'hFFFF26C6: romout <= 16'h08F0;
2421
32'hFFFF26C8: romout <= 16'h6100;
2422
32'hFFFF26CA: romout <= 16'h09B2;
2423
32'hFFFF26CC: romout <= 16'h670C;
2424
32'hFFFF26CE: romout <= 16'hB03C;
2425
32'hFFFF26D0: romout <= 16'h0013;
2426
32'hFFFF26D2: romout <= 16'h6606;
2427
32'hFFFF26D4: romout <= 16'h6100;
2428
32'hFFFF26D6: romout <= 16'h09A6;
2429
32'hFFFF26D8: romout <= 16'h67FA;
2430
32'hFFFF26DA: romout <= 16'h6100;
2431
32'hFFFF26DC: romout <= 16'h07CE;
2432
32'hFFFF26DE: romout <= 16'h60E0;
2433
32'hFFFF26E0: romout <= 16'h780B;
2434
32'hFFFF26E2: romout <= 16'h6100;
2435
32'hFFFF26E4: romout <= 16'h08EE;
2436
32'hFFFF26E6: romout <= 16'h3A07;
2437
32'hFFFF26E8: romout <= 16'h6100;
2438
32'hFFFF26EA: romout <= 16'h09A4;
2439
32'hFFFF26EC: romout <= 16'h609E;
2440
32'hFFFF26EE: romout <= 16'h6100;
2441
32'hFFFF26F0: romout <= 16'h08E2;
2442
32'hFFFF26F2: romout <= 16'h0D09;
2443
32'hFFFF26F4: romout <= 16'h6100;
2444
32'hFFFF26F6: romout <= 16'h0998;
2445
32'hFFFF26F8: romout <= 16'h6000;
2446
32'hFFFF26FA: romout <= 16'hFF76;
2447
32'hFFFF26FC: romout <= 16'h6100;
2448
32'hFFFF26FE: romout <= 16'h08D4;
2449
32'hFFFF2700: romout <= 16'h2309;
2450
32'hFFFF2702: romout <= 16'h6100;
2451
32'hFFFF2704: romout <= 16'h03A6;
2452
32'hFFFF2706: romout <= 16'h2800;
2453
32'hFFFF2708: romout <= 16'h6016;
2454
32'hFFFF270A: romout <= 16'h6100;
2455
32'hFFFF270C: romout <= 16'h08C6;
2456
32'hFFFF270E: romout <= 16'h240B;
2457
32'hFFFF2710: romout <= 16'h6100;
2458
32'hFFFF2712: romout <= 16'h0398;
2459
32'hFFFF2714: romout <= 16'h6100;
2460
32'hFFFF2716: romout <= 16'hFCF2;
2461
32'hFFFF2718: romout <= 16'h6006;
2462
32'hFFFF271A: romout <= 16'h6100;
2463
32'hFFFF271C: romout <= 16'h081E;
2464
32'hFFFF271E: romout <= 16'h6012;
2465
32'hFFFF2720: romout <= 16'h6100;
2466
32'hFFFF2722: romout <= 16'h08B0;
2467
32'hFFFF2724: romout <= 16'h2C07;
2468
32'hFFFF2726: romout <= 16'h6100;
2469
32'hFFFF2728: romout <= 16'h0656;
2470
32'hFFFF272A: romout <= 16'h60D0;
2471
32'hFFFF272C: romout <= 16'h6100;
2472
32'hFFFF272E: romout <= 16'h0960;
2473
32'hFFFF2730: romout <= 16'h6010;
2474
32'hFFFF2732: romout <= 16'h2F04;
2475
32'hFFFF2734: romout <= 16'h6100;
2476
32'hFFFF2736: romout <= 16'h0374;
2477
32'hFFFF2738: romout <= 16'h281F;
2478
32'hFFFF273A: romout <= 16'h2200;
2479
32'hFFFF273C: romout <= 16'h6100;
2480
32'hFFFF273E: romout <= 16'h083C;
2481
32'hFFFF2740: romout <= 16'h60DE;
2482
32'hFFFF2742: romout <= 16'h6100;
2483
32'hFFFF2744: romout <= 16'h063A;
2484
32'hFFFF2746: romout <= 16'h6000;
2485
32'hFFFF2748: romout <= 16'h065C;
2486
32'hFFFF274A: romout <= 16'h6100;
2487
32'hFFFF274C: romout <= 16'h07AC;
2488
32'hFFFF274E: romout <= 16'h6100;
2489
32'hFFFF2750: romout <= 16'h035A;
2490
32'hFFFF2752: romout <= 16'h2F08;
2491
32'hFFFF2754: romout <= 16'h2200;
2492
32'hFFFF2756: romout <= 16'h6100;
2493
32'hFFFF2758: romout <= 16'h0742;
2494
32'hFFFF275A: romout <= 16'h6600;
2495
32'hFFFF275C: romout <= 16'h0696;
2496
32'hFFFF275E: romout <= 16'h2F38;
2497
32'hFFFF2760: romout <= 16'h0604;
2498
32'hFFFF2762: romout <= 16'h2F38;
2499
32'hFFFF2764: romout <= 16'h0608;
2500
32'hFFFF2766: romout <= 16'h42B8;
2501
32'hFFFF2768: romout <= 16'h0610;
2502
32'hFFFF276A: romout <= 16'h21CF;
2503
32'hFFFF276C: romout <= 16'h0608;
2504
32'hFFFF276E: romout <= 16'h6000;
2505
32'hFFFF2770: romout <= 16'hFF14;
2506
32'hFFFF2772: romout <= 16'h6100;
2507
32'hFFFF2774: romout <= 16'h0624;
2508
32'hFFFF2776: romout <= 16'h2238;
2509
32'hFFFF2778: romout <= 16'h0608;
2510
32'hFFFF277A: romout <= 16'h6700;
2511
32'hFFFF277C: romout <= 16'h0628;
2512
32'hFFFF277E: romout <= 16'h2E41;
2513
32'hFFFF2780: romout <= 16'h21DF;
2514
32'hFFFF2782: romout <= 16'h0608;
2515
32'hFFFF2784: romout <= 16'h21DF;
2516
32'hFFFF2786: romout <= 16'h0604;
2517
32'hFFFF2788: romout <= 16'h205F;
2518
32'hFFFF278A: romout <= 16'h6100;
2519
32'hFFFF278C: romout <= 16'h0752;
2520
32'hFFFF278E: romout <= 16'h60B2;
2521
32'hFFFF2790: romout <= 16'h6100;
2522
32'hFFFF2792: romout <= 16'h0766;
2523
32'hFFFF2794: romout <= 16'h6100;
2524
32'hFFFF2796: romout <= 16'h05CE;
2525
32'hFFFF2798: romout <= 16'h21CE;
2526
32'hFFFF279A: romout <= 16'h0610;
2527
32'hFFFF279C: romout <= 16'h43F9;
2528
32'hFFFF279E: romout <= 16'hFFFF;
2529
32'hFFFF27A0: romout <= 16'h2583;
2530
32'hFFFF27A2: romout <= 16'h45F9;
2531
32'hFFFF27A4: romout <= 16'hFFFF;
2532
32'hFFFF27A6: romout <= 16'h25D8;
2533
32'hFFFF27A8: romout <= 16'h6000;
2534
32'hFFFF27AA: romout <= 16'hFE50;
2535
32'hFFFF27AC: romout <= 16'h6100;
2536
32'hFFFF27AE: romout <= 16'h02FC;
2537
32'hFFFF27B0: romout <= 16'h21C0;
2538
32'hFFFF27B2: romout <= 16'h0618;
2539
32'hFFFF27B4: romout <= 16'h43F9;
2540
32'hFFFF27B6: romout <= 16'hFFFF;
2541
32'hFFFF27B8: romout <= 16'h2586;
2542
32'hFFFF27BA: romout <= 16'h45F9;
2543
32'hFFFF27BC: romout <= 16'hFFFF;
2544
32'hFFFF27BE: romout <= 16'h25DC;
2545
32'hFFFF27C0: romout <= 16'h6000;
2546
32'hFFFF27C2: romout <= 16'hFE38;
2547
32'hFFFF27C4: romout <= 16'h6100;
2548
32'hFFFF27C6: romout <= 16'h02E4;
2549
32'hFFFF27C8: romout <= 16'h6002;
2550
32'hFFFF27CA: romout <= 16'h7001;
2551
32'hFFFF27CC: romout <= 16'h21C0;
2552
32'hFFFF27CE: romout <= 16'h0614;
2553
32'hFFFF27D0: romout <= 16'h21F8;
2554
32'hFFFF27D2: romout <= 16'h0604;
2555
32'hFFFF27D4: romout <= 16'h061C;
2556
32'hFFFF27D6: romout <= 16'h21C8;
2557
32'hFFFF27D8: romout <= 16'h0620;
2558
32'hFFFF27DA: romout <= 16'h2C4F;
2559
32'hFFFF27DC: romout <= 16'h6006;
2560
32'hFFFF27DE: romout <= 16'hDDFC;
2561
32'hFFFF27E0: romout <= 16'h0000;
2562
32'hFFFF27E2: romout <= 16'h0014;
2563
32'hFFFF27E4: romout <= 16'h2016;
2564
32'hFFFF27E6: romout <= 16'h6716;
2565
32'hFFFF27E8: romout <= 16'hB0B8;
2566
32'hFFFF27EA: romout <= 16'h0610;
2567
32'hFFFF27EC: romout <= 16'h66F0;
2568
32'hFFFF27EE: romout <= 16'h244F;
2569
32'hFFFF27F0: romout <= 16'h224E;
2570
32'hFFFF27F2: romout <= 16'h47F8;
2571
32'hFFFF27F4: romout <= 16'h0014;
2572
32'hFFFF27F6: romout <= 16'hD7C9;
2573
32'hFFFF27F8: romout <= 16'h6100;
2574
32'hFFFF27FA: romout <= 16'h06DC;
2575
32'hFFFF27FC: romout <= 16'h2E4B;
2576
32'hFFFF27FE: romout <= 16'h6000;
2577
32'hFFFF2800: romout <= 16'hFF42;
2578
32'hFFFF2802: romout <= 16'h6100;
2579
32'hFFFF2804: romout <= 16'h03F2;
2580
32'hFFFF2806: romout <= 16'h6500;
2581
32'hFFFF2808: romout <= 16'h059C;
2582
32'hFFFF280A: romout <= 16'h2240;
2583
32'hFFFF280C: romout <= 16'h2038;
2584
32'hFFFF280E: romout <= 16'h0610;
2585
32'hFFFF2810: romout <= 16'h6700;
2586
32'hFFFF2812: romout <= 16'h0592;
2587
32'hFFFF2814: romout <= 16'hB3C0;
2588
32'hFFFF2816: romout <= 16'h6706;
2589
32'hFFFF2818: romout <= 16'h6100;
2590
32'hFFFF281A: romout <= 16'h06C4;
2591
32'hFFFF281C: romout <= 16'h60EE;
2592
32'hFFFF281E: romout <= 16'h2011;
2593
32'hFFFF2820: romout <= 16'hD0B8;
2594
32'hFFFF2822: romout <= 16'h0614;
2595
32'hFFFF2824: romout <= 16'h6900;
2596
32'hFFFF2826: romout <= 16'h05CA;
2597
32'hFFFF2828: romout <= 16'h2280;
2598
32'hFFFF282A: romout <= 16'h2238;
2599
32'hFFFF282C: romout <= 16'h0618;
2600
32'hFFFF282E: romout <= 16'h4AB8;
2601
32'hFFFF2830: romout <= 16'h0614;
2602
32'hFFFF2832: romout <= 16'h6A02;
2603
32'hFFFF2834: romout <= 16'hC141;
2604
32'hFFFF2836: romout <= 16'hB280;
2605
32'hFFFF2838: romout <= 16'h6D0E;
2606
32'hFFFF283A: romout <= 16'h21F8;
2607
32'hFFFF283C: romout <= 16'h061C;
2608
32'hFFFF283E: romout <= 16'h0604;
2609
32'hFFFF2840: romout <= 16'h2078;
2610
32'hFFFF2842: romout <= 16'h0620;
2611
32'hFFFF2844: romout <= 16'h6000;
2612
32'hFFFF2846: romout <= 16'hFEFC;
2613
32'hFFFF2848: romout <= 16'h6100;
2614
32'hFFFF284A: romout <= 16'h0694;
2615
32'hFFFF284C: romout <= 16'h6000;
2616
32'hFFFF284E: romout <= 16'hFEF4;
2617
32'hFFFF2850: romout <= 16'h600A;
2618
32'hFFFF2852: romout <= 16'h6100;
2619
32'hFFFF2854: romout <= 16'h0256;
2620
32'hFFFF2856: romout <= 16'h4A80;
2621
32'hFFFF2858: romout <= 16'h6600;
2622
32'hFFFF285A: romout <= 16'hFE32;
2623
32'hFFFF285C: romout <= 16'h2248;
2624
32'hFFFF285E: romout <= 16'h4281;
2625
32'hFFFF2860: romout <= 16'h6100;
2626
32'hFFFF2862: romout <= 16'h0662;
2627
32'hFFFF2864: romout <= 16'h6400;
2628
32'hFFFF2866: romout <= 16'hFE1E;
2629
32'hFFFF2868: romout <= 16'h6000;
2630
32'hFFFF286A: romout <= 16'hFBF6;
2631
32'hFFFF286C: romout <= 16'h2E78;
2632
32'hFFFF286E: romout <= 16'h060C;
2633
32'hFFFF2870: romout <= 16'h21DF;
2634
32'hFFFF2872: romout <= 16'h0604;
2635
32'hFFFF2874: romout <= 16'h588F;
2636
32'hFFFF2876: romout <= 16'h205F;
2637
32'hFFFF2878: romout <= 16'h2F08;
2638
32'hFFFF287A: romout <= 16'h6100;
2639
32'hFFFF287C: romout <= 16'h06BE;
2640
32'hFFFF287E: romout <= 16'h600A;
2641
32'hFFFF2880: romout <= 16'h6100;
2642
32'hFFFF2882: romout <= 16'h0374;
2643
32'hFFFF2884: romout <= 16'h654C;
2644
32'hFFFF2886: romout <= 16'h2440;
2645
32'hFFFF2888: romout <= 16'h601A;
2646
32'hFFFF288A: romout <= 16'h2F08;
2647
32'hFFFF288C: romout <= 16'h6100;
2648
32'hFFFF288E: romout <= 16'h0368;
2649
32'hFFFF2890: romout <= 16'h6500;
2650
32'hFFFF2892: romout <= 16'h0512;
2651
32'hFFFF2894: romout <= 16'h2440;
2652
32'hFFFF2896: romout <= 16'h1410;
2653
32'hFFFF2898: romout <= 16'h4200;
2654
32'hFFFF289A: romout <= 16'h1080;
2655
32'hFFFF289C: romout <= 16'h225F;
2656
32'hFFFF289E: romout <= 16'h6100;
2657
32'hFFFF28A0: romout <= 16'h067E;
2658
32'hFFFF28A2: romout <= 16'h1082;
2659
32'hFFFF28A4: romout <= 16'h2F08;
2660
32'hFFFF28A6: romout <= 16'h2F38;
2661
32'hFFFF28A8: romout <= 16'h0604;
2662
32'hFFFF28AA: romout <= 16'h21FC;
2663
32'hFFFF28AC: romout <= 16'hFFFF;
2664
32'hFFFF28AE: romout <= 16'hFFFF;
2665
32'hFFFF28B0: romout <= 16'h0604;
2666
32'hFFFF28B2: romout <= 16'h21CF;
2667
32'hFFFF28B4: romout <= 16'h060C;
2668
32'hFFFF28B6: romout <= 16'h2F0A;
2669
32'hFFFF28B8: romout <= 16'h103C;
2670
32'hFFFF28BA: romout <= 16'h003A;
2671
32'hFFFF28BC: romout <= 16'h6100;
2672
32'hFFFF28BE: romout <= 16'h053C;
2673
32'hFFFF28C0: romout <= 16'h41F8;
2674
32'hFFFF28C2: romout <= 16'h0630;
2675
32'hFFFF28C4: romout <= 16'h6100;
2676
32'hFFFF28C6: romout <= 16'h01E4;
2677
32'hFFFF28C8: romout <= 16'h245F;
2678
32'hFFFF28CA: romout <= 16'h2480;
2679
32'hFFFF28CC: romout <= 16'h21DF;
2680
32'hFFFF28CE: romout <= 16'h0604;
2681
32'hFFFF28D0: romout <= 16'h205F;
2682
32'hFFFF28D2: romout <= 16'h588F;
2683
32'hFFFF28D4: romout <= 16'h6100;
2684
32'hFFFF28D6: romout <= 16'h06FC;
2685
32'hFFFF28D8: romout <= 16'h2C03;
2686
32'hFFFF28DA: romout <= 16'h609C;
2687
32'hFFFF28DC: romout <= 16'h6000;
2688
32'hFFFF28DE: romout <= 16'hFE64;
2689
32'hFFFF28E0: romout <= 16'h0C10;
2690
32'hFFFF28E2: romout <= 16'h000D;
2691
32'hFFFF28E4: romout <= 16'h670C;
2692
32'hFFFF28E6: romout <= 16'h6100;
2693
32'hFFFF28E8: romout <= 16'h047C;
2694
32'hFFFF28EA: romout <= 16'h6100;
2695
32'hFFFF28EC: romout <= 16'h06E6;
2696
32'hFFFF28EE: romout <= 16'h2C03;
2697
32'hFFFF28F0: romout <= 16'h60F4;
2698
32'hFFFF28F2: romout <= 16'h6000;
2699
32'hFFFF28F4: romout <= 16'hFE4E;
2700
32'hFFFF28F6: romout <= 16'h2079;
2701
32'hFFFF28F8: romout <= 16'hFFFF;
2702
32'hFFFF28FA: romout <= 16'h241C;
2703
32'hFFFF28FC: romout <= 16'h103C;
2704
32'hFFFF28FE: romout <= 16'h000D;
2705
32'hFFFF2900: romout <= 16'h6100;
2706
32'hFFFF2902: romout <= 16'hFB0E;
2707
32'hFFFF2904: romout <= 16'h6100;
2708
32'hFFFF2906: romout <= 16'hFB0E;
2709
32'hFFFF2908: romout <= 16'h67FA;
2710
32'hFFFF290A: romout <= 16'hB03C;
2711
32'hFFFF290C: romout <= 16'h0040;
2712
32'hFFFF290E: romout <= 16'h6722;
2713
32'hFFFF2910: romout <= 16'hB03C;
2714
32'hFFFF2912: romout <= 16'h003A;
2715
32'hFFFF2914: romout <= 16'h66EE;
2716
32'hFFFF2916: romout <= 16'h6100;
2717
32'hFFFF2918: romout <= 16'h0022;
2718
32'hFFFF291A: romout <= 16'h10C1;
2719
32'hFFFF291C: romout <= 16'h6100;
2720
32'hFFFF291E: romout <= 16'h001C;
2721
32'hFFFF2920: romout <= 16'h10C1;
2722
32'hFFFF2922: romout <= 16'h6100;
2723
32'hFFFF2924: romout <= 16'hFAF0;
2724
32'hFFFF2926: romout <= 16'h67FA;
2725
32'hFFFF2928: romout <= 16'h10C0;
2726
32'hFFFF292A: romout <= 16'hB03C;
2727
32'hFFFF292C: romout <= 16'h000D;
2728
32'hFFFF292E: romout <= 16'h66F2;
2729
32'hFFFF2930: romout <= 16'h60D2;
2730
32'hFFFF2932: romout <= 16'h21C8;
2731
32'hFFFF2934: romout <= 16'h0624;
2732
32'hFFFF2936: romout <= 16'h6000;
2733
32'hFFFF2938: romout <= 16'hFB28;
2734
32'hFFFF293A: romout <= 16'h7401;
2735
32'hFFFF293C: romout <= 16'h4281;
2736
32'hFFFF293E: romout <= 16'h6100;
2737
32'hFFFF2940: romout <= 16'hFAD4;
2738
32'hFFFF2942: romout <= 16'h67FA;
2739
32'hFFFF2944: romout <= 16'hB03C;
2740
32'hFFFF2946: romout <= 16'h0041;
2741
32'hFFFF2948: romout <= 16'h6502;
2742
32'hFFFF294A: romout <= 16'h5F00;
2743
32'hFFFF294C: romout <= 16'h0200;
2744
32'hFFFF294E: romout <= 16'h000F;
2745
32'hFFFF2950: romout <= 16'hE909;
2746
32'hFFFF2952: romout <= 16'h8200;
2747
32'hFFFF2954: romout <= 16'h51CA;
2748
32'hFFFF2956: romout <= 16'hFFE8;
2749
32'hFFFF2958: romout <= 16'h4E75;
2750
32'hFFFF295A: romout <= 16'h2079;
2751
32'hFFFF295C: romout <= 16'hFFFF;
2752
32'hFFFF295E: romout <= 16'h241C;
2753
32'hFFFF2960: romout <= 16'h2278;
2754
32'hFFFF2962: romout <= 16'h0624;
2755
32'hFFFF2964: romout <= 16'h103C;
2756
32'hFFFF2966: romout <= 16'h000D;
2757
32'hFFFF2968: romout <= 16'h6100;
2758
32'hFFFF296A: romout <= 16'hFAA6;
2759
32'hFFFF296C: romout <= 16'h103C;
2760
32'hFFFF296E: romout <= 16'h000A;
2761
32'hFFFF2970: romout <= 16'h6100;
2762
32'hFFFF2972: romout <= 16'hFA9E;
2763
32'hFFFF2974: romout <= 16'hB3C8;
2764
32'hFFFF2976: romout <= 16'h6322;
2765
32'hFFFF2978: romout <= 16'h103C;
2766
32'hFFFF297A: romout <= 16'h003A;
2767
32'hFFFF297C: romout <= 16'h6100;
2768
32'hFFFF297E: romout <= 16'hFA92;
2769
32'hFFFF2980: romout <= 16'h1218;
2770
32'hFFFF2982: romout <= 16'h6100;
2771
32'hFFFF2984: romout <= 16'h003A;
2772
32'hFFFF2986: romout <= 16'h1218;
2773
32'hFFFF2988: romout <= 16'h6100;
2774
32'hFFFF298A: romout <= 16'h0034;
2775
32'hFFFF298C: romout <= 16'h1018;
2776
32'hFFFF298E: romout <= 16'hB03C;
2777
32'hFFFF2990: romout <= 16'h000D;
2778
32'hFFFF2992: romout <= 16'h67D0;
2779
32'hFFFF2994: romout <= 16'h6100;
2780
32'hFFFF2996: romout <= 16'hFA7A;
2781
32'hFFFF2998: romout <= 16'h60F2;
2782
32'hFFFF299A: romout <= 16'h103C;
2783
32'hFFFF299C: romout <= 16'h0040;
2784
32'hFFFF299E: romout <= 16'h6100;
2785
32'hFFFF29A0: romout <= 16'hFA70;
2786
32'hFFFF29A2: romout <= 16'h103C;
2787
32'hFFFF29A4: romout <= 16'h000D;
2788
32'hFFFF29A6: romout <= 16'h6100;
2789
32'hFFFF29A8: romout <= 16'hFA68;
2790
32'hFFFF29AA: romout <= 16'h103C;
2791
32'hFFFF29AC: romout <= 16'h000A;
2792
32'hFFFF29AE: romout <= 16'h6100;
2793
32'hFFFF29B0: romout <= 16'hFA60;
2794
32'hFFFF29B2: romout <= 16'h103C;
2795
32'hFFFF29B4: romout <= 16'h001A;
2796
32'hFFFF29B6: romout <= 16'h6100;
2797
32'hFFFF29B8: romout <= 16'hFA58;
2798
32'hFFFF29BA: romout <= 16'h6000;
2799
32'hFFFF29BC: romout <= 16'hFAA4;
2800
32'hFFFF29BE: romout <= 16'h7401;
2801
32'hFFFF29C0: romout <= 16'hE919;
2802
32'hFFFF29C2: romout <= 16'h1001;
2803
32'hFFFF29C4: romout <= 16'h0200;
2804
32'hFFFF29C6: romout <= 16'h000F;
2805
32'hFFFF29C8: romout <= 16'h0600;
2806
32'hFFFF29CA: romout <= 16'h0030;
2807
32'hFFFF29CC: romout <= 16'hB03C;
2808
32'hFFFF29CE: romout <= 16'h0039;
2809
32'hFFFF29D0: romout <= 16'h6302;
2810
32'hFFFF29D2: romout <= 16'h5E00;
2811
32'hFFFF29D4: romout <= 16'h6100;
2812
32'hFFFF29D6: romout <= 16'hFA3A;
2813
32'hFFFF29D8: romout <= 16'h51CA;
2814
32'hFFFF29DA: romout <= 16'hFFE6;
2815
32'hFFFF29DC: romout <= 16'h4E75;
2816
32'hFFFF29DE: romout <= 16'h6100;
2817
32'hFFFF29E0: romout <= 16'h00CA;
2818
32'hFFFF29E2: romout <= 16'h6100;
2819
32'hFFFF29E4: romout <= 16'h05EE;
2820
32'hFFFF29E6: romout <= 16'h2C0F;
2821
32'hFFFF29E8: romout <= 16'h2F00;
2822
32'hFFFF29EA: romout <= 16'h6100;
2823
32'hFFFF29EC: romout <= 16'h00BE;
2824
32'hFFFF29EE: romout <= 16'h225F;
2825
32'hFFFF29F0: romout <= 16'h1280;
2826
32'hFFFF29F2: romout <= 16'h6000;
2827
32'hFFFF29F4: romout <= 16'hFD4E;
2828
32'hFFFF29F6: romout <= 16'h6000;
2829
32'hFFFF29F8: romout <= 16'h03AC;
2830
32'hFFFF29FA: romout <= 16'h6100;
2831
32'hFFFF29FC: romout <= 16'h00AE;
2832
32'hFFFF29FE: romout <= 16'h6100;
2833
32'hFFFF2A00: romout <= 16'h05D2;
2834
32'hFFFF2A02: romout <= 16'h2CF3;
2835
32'hFFFF2A04: romout <= 16'h2F00;
2836
32'hFFFF2A06: romout <= 16'h6100;
2837
32'hFFFF2A08: romout <= 16'h00A2;
2838
32'hFFFF2A0A: romout <= 16'h221F;
2839
32'hFFFF2A0C: romout <= 16'h2400;
2840
32'hFFFF2A0E: romout <= 16'h6100;
2841
32'hFFFF2A10: romout <= 16'hEAEE;
2842
32'hFFFF2A12: romout <= 16'h6000;
2843
32'hFFFF2A14: romout <= 16'hFD2E;
2844
32'hFFFF2A16: romout <= 16'h6100;
2845
32'hFFFF2A18: romout <= 16'h0092;
2846
32'hFFFF2A1A: romout <= 16'h23C0;
2847
32'hFFFF2A1C: romout <= 16'hFFDA;
2848
32'hFFFF2A1E: romout <= 16'hE000;
2849
32'hFFFF2A20: romout <= 16'h6000;
2850
32'hFFFF2A22: romout <= 16'hFD20;
2851
32'hFFFF2A24: romout <= 16'h6100;
2852
32'hFFFF2A26: romout <= 16'h0084;
2853
32'hFFFF2A28: romout <= 16'h23C0;
2854
32'hFFFF2A2A: romout <= 16'hFFDA;
2855
32'hFFFF2A2C: romout <= 16'hE004;
2856
32'hFFFF2A2E: romout <= 16'h6000;
2857
32'hFFFF2A30: romout <= 16'hFD12;
2858
32'hFFFF2A32: romout <= 16'h6100;
2859
32'hFFFF2A34: romout <= 16'h0076;
2860
32'hFFFF2A36: romout <= 16'h6100;
2861
32'hFFFF2A38: romout <= 16'h059A;
2862
32'hFFFF2A3A: romout <= 16'h2C49;
2863
32'hFFFF2A3C: romout <= 16'h2F00;
2864
32'hFFFF2A3E: romout <= 16'h6100;
2865
32'hFFFF2A40: romout <= 16'h006A;
2866
32'hFFFF2A42: romout <= 16'h6100;
2867
32'hFFFF2A44: romout <= 16'h058E;
2868
32'hFFFF2A46: romout <= 16'h2C41;
2869
32'hFFFF2A48: romout <= 16'h2F00;
2870
32'hFFFF2A4A: romout <= 16'h6100;
2871
32'hFFFF2A4C: romout <= 16'h005E;
2872
32'hFFFF2A4E: romout <= 16'h6100;
2873
32'hFFFF2A50: romout <= 16'h0582;
2874
32'hFFFF2A52: romout <= 16'h2C3B;
2875
32'hFFFF2A54: romout <= 16'h2F00;
2876
32'hFFFF2A56: romout <= 16'h6100;
2877
32'hFFFF2A58: romout <= 16'h0052;
2878
32'hFFFF2A5A: romout <= 16'h33C0;
2879
32'hFFFF2A5C: romout <= 16'hFFDA;
2880
32'hFFFF2A5E: romout <= 16'hE00E;
2881
32'hFFFF2A60: romout <= 16'h201F;
2882
32'hFFFF2A62: romout <= 16'h33C0;
2883
32'hFFFF2A64: romout <= 16'hFFDA;
2884
32'hFFFF2A66: romout <= 16'hE00C;
2885
32'hFFFF2A68: romout <= 16'h201F;
2886
32'hFFFF2A6A: romout <= 16'h33C0;
2887
32'hFFFF2A6C: romout <= 16'hFFDA;
2888
32'hFFFF2A6E: romout <= 16'hE00A;
2889
32'hFFFF2A70: romout <= 16'h201F;
2890
32'hFFFF2A72: romout <= 16'h33C0;
2891
32'hFFFF2A74: romout <= 16'hFFDA;
2892
32'hFFFF2A76: romout <= 16'hE008;
2893
32'hFFFF2A78: romout <= 16'h33FC;
2894
32'hFFFF2A7A: romout <= 16'h0002;
2895
32'hFFFF2A7C: romout <= 16'hFFDA;
2896
32'hFFFF2A7E: romout <= 16'hE01E;
2897
32'hFFFF2A80: romout <= 16'h6000;
2898
32'hFFFF2A82: romout <= 16'hFCC0;
2899
32'hFFFF2A84: romout <= 16'h6000;
2900
32'hFFFF2A86: romout <= 16'h031E;
2901
32'hFFFF2A88: romout <= 16'h588F;
2902
32'hFFFF2A8A: romout <= 16'h6000;
2903
32'hFFFF2A8C: romout <= 16'h0318;
2904
32'hFFFF2A8E: romout <= 16'h508F;
2905
32'hFFFF2A90: romout <= 16'h6000;
2906
32'hFFFF2A92: romout <= 16'h0312;
2907
32'hFFFF2A94: romout <= 16'h6100;
2908
32'hFFFF2A96: romout <= 16'h0014;
2909
32'hFFFF2A98: romout <= 16'h4A80;
2910
32'hFFFF2A9A: romout <= 16'h6700;
2911
32'hFFFF2A9C: romout <= 16'h0354;
2912
32'hFFFF2A9E: romout <= 16'h2F08;
2913
32'hFFFF2AA0: romout <= 16'h2240;
2914
32'hFFFF2AA2: romout <= 16'h4E91;
2915
32'hFFFF2AA4: romout <= 16'h205F;
2916
32'hFFFF2AA6: romout <= 16'h6000;
2917
32'hFFFF2AA8: romout <= 16'hFC9A;
2918
32'hFFFF2AAA: romout <= 16'h6100;
2919
32'hFFFF2AAC: romout <= 16'h0066;
2920
32'hFFFF2AAE: romout <= 16'h2F00;
2921
32'hFFFF2AB0: romout <= 16'h43F9;
2922
32'hFFFF2AB2: romout <= 16'hFFFF;
2923
32'hFFFF2AB4: romout <= 16'h258B;
2924
32'hFFFF2AB6: romout <= 16'h45F9;
2925
32'hFFFF2AB8: romout <= 16'hFFFF;
2926
32'hFFFF2ABA: romout <= 16'h25E0;
2927
32'hFFFF2ABC: romout <= 16'h6000;
2928
32'hFFFF2ABE: romout <= 16'hFB3C;
2929
32'hFFFF2AC0: romout <= 16'h6100;
2930
32'hFFFF2AC2: romout <= 16'h003E;
2931
32'hFFFF2AC4: romout <= 16'h6D2E;
2932
32'hFFFF2AC6: romout <= 16'h6030;
2933
32'hFFFF2AC8: romout <= 16'h6100;
2934
32'hFFFF2ACA: romout <= 16'h0036;
2935
32'hFFFF2ACC: romout <= 16'h6726;
2936
32'hFFFF2ACE: romout <= 16'h6028;
2937
32'hFFFF2AD0: romout <= 16'h6100;
2938
32'hFFFF2AD2: romout <= 16'h002E;
2939
32'hFFFF2AD4: romout <= 16'h6F1E;
2940
32'hFFFF2AD6: romout <= 16'h6020;
2941
32'hFFFF2AD8: romout <= 16'h6100;
2942
32'hFFFF2ADA: romout <= 16'h0026;
2943
32'hFFFF2ADC: romout <= 16'h6E16;
2944
32'hFFFF2ADE: romout <= 16'h6018;
2945
32'hFFFF2AE0: romout <= 16'h6100;
2946
32'hFFFF2AE2: romout <= 16'h001E;
2947
32'hFFFF2AE4: romout <= 16'h660E;
2948
32'hFFFF2AE6: romout <= 16'h6010;
2949
32'hFFFF2AE8: romout <= 16'h4E75;
2950
32'hFFFF2AEA: romout <= 16'h6100;
2951
32'hFFFF2AEC: romout <= 16'h0014;
2952
32'hFFFF2AEE: romout <= 16'h6C04;
2953
32'hFFFF2AF0: romout <= 16'h6006;
2954
32'hFFFF2AF2: romout <= 16'h4E75;
2955
32'hFFFF2AF4: romout <= 16'h4280;
2956
32'hFFFF2AF6: romout <= 16'h4E75;
2957
32'hFFFF2AF8: romout <= 16'h7001;
2958
32'hFFFF2AFA: romout <= 16'h4E75;
2959
32'hFFFF2AFC: romout <= 16'h201F;
2960
32'hFFFF2AFE: romout <= 16'h4E75;
2961
32'hFFFF2B00: romout <= 16'h201F;
2962
32'hFFFF2B02: romout <= 16'h221F;
2963
32'hFFFF2B04: romout <= 16'h2F00;
2964
32'hFFFF2B06: romout <= 16'h2F01;
2965
32'hFFFF2B08: romout <= 16'h6100;
2966
32'hFFFF2B0A: romout <= 16'h0008;
2967
32'hFFFF2B0C: romout <= 16'h221F;
2968
32'hFFFF2B0E: romout <= 16'hB280;
2969
32'hFFFF2B10: romout <= 16'h4E75;
2970
32'hFFFF2B12: romout <= 16'h6100;
2971
32'hFFFF2B14: romout <= 16'h04BE;
2972
32'hFFFF2B16: romout <= 16'h2D05;
2973
32'hFFFF2B18: romout <= 16'h4280;
2974
32'hFFFF2B1A: romout <= 16'h603C;
2975
32'hFFFF2B1C: romout <= 16'h6100;
2976
32'hFFFF2B1E: romout <= 16'h04B4;
2977
32'hFFFF2B20: romout <= 16'h2111;
2978
32'hFFFF2B22: romout <= 16'h4280;
2979
32'hFFFF2B24: romout <= 16'h2F00;
2980
32'hFFFF2B26: romout <= 16'h6100;
2981
32'hFFFF2B28: romout <= 16'h0062;
2982
32'hFFFF2B2A: romout <= 16'h4680;
2983
32'hFFFF2B2C: romout <= 16'h4EF9;
2984
32'hFFFF2B2E: romout <= 16'hFFFF;
2985
32'hFFFF2B30: romout <= 16'h2B48;
2986
32'hFFFF2B32: romout <= 16'h6100;
2987
32'hFFFF2B34: romout <= 16'h049E;
2988
32'hFFFF2B36: romout <= 16'h2B01;
2989
32'hFFFF2B38: romout <= 16'h6100;
2990
32'hFFFF2B3A: romout <= 16'h0050;
2991
32'hFFFF2B3C: romout <= 16'h6100;
2992
32'hFFFF2B3E: romout <= 16'h0494;
2993
32'hFFFF2B40: romout <= 16'h2B11;
2994
32'hFFFF2B42: romout <= 16'h2F00;
2995
32'hFFFF2B44: romout <= 16'h6100;
2996
32'hFFFF2B46: romout <= 16'h0044;
2997
32'hFFFF2B48: romout <= 16'h221F;
2998
32'hFFFF2B4A: romout <= 16'hD081;
2999
32'hFFFF2B4C: romout <= 16'h6900;
3000
32'hFFFF2B4E: romout <= 16'h02A2;
3001
32'hFFFF2B50: romout <= 16'h60EA;
3002
32'hFFFF2B52: romout <= 16'h6100;
3003
32'hFFFF2B54: romout <= 16'h047E;
3004
32'hFFFF2B56: romout <= 16'h2D0F;
3005
32'hFFFF2B58: romout <= 16'h2F00;
3006
32'hFFFF2B5A: romout <= 16'h6100;
3007
32'hFFFF2B5C: romout <= 16'h002E;
3008
32'hFFFF2B5E: romout <= 16'h4480;
3009
32'hFFFF2B60: romout <= 16'h4EF9;
3010
32'hFFFF2B62: romout <= 16'hFFFF;
3011
32'hFFFF2B64: romout <= 16'h2B48;
3012
32'hFFFF2B66: romout <= 16'h6100;
3013
32'hFFFF2B68: romout <= 16'h046A;
3014
32'hFFFF2B6A: romout <= 16'h260D;
3015
32'hFFFF2B6C: romout <= 16'h2F00;
3016
32'hFFFF2B6E: romout <= 16'h6100;
3017
32'hFFFF2B70: romout <= 16'h001A;
3018
32'hFFFF2B72: romout <= 16'h221F;
3019
32'hFFFF2B74: romout <= 16'hC081;
3020
32'hFFFF2B76: romout <= 16'h60C4;
3021
32'hFFFF2B78: romout <= 16'h6100;
3022
32'hFFFF2B7A: romout <= 16'h0458;
3023
32'hFFFF2B7C: romout <= 16'h7C73;
3024
32'hFFFF2B7E: romout <= 16'h2F00;
3025
32'hFFFF2B80: romout <= 16'h6100;
3026
32'hFFFF2B82: romout <= 16'h0008;
3027
32'hFFFF2B84: romout <= 16'h221F;
3028
32'hFFFF2B86: romout <= 16'h8081;
3029
32'hFFFF2B88: romout <= 16'h60B2;
3030
32'hFFFF2B8A: romout <= 16'h6100;
3031
32'hFFFF2B8C: romout <= 16'h002C;
3032
32'hFFFF2B8E: romout <= 16'h6100;
3033
32'hFFFF2B90: romout <= 16'h0442;
3034
32'hFFFF2B92: romout <= 16'h2A0F;
3035
32'hFFFF2B94: romout <= 16'h2F00;
3036
32'hFFFF2B96: romout <= 16'h6100;
3037
32'hFFFF2B98: romout <= 16'h0020;
3038
32'hFFFF2B9A: romout <= 16'h221F;
3039
32'hFFFF2B9C: romout <= 16'h6100;
3040
32'hFFFF2B9E: romout <= 16'h00D2;
3041
32'hFFFF2BA0: romout <= 16'h60EC;
3042
32'hFFFF2BA2: romout <= 16'h6100;
3043
32'hFFFF2BA4: romout <= 16'h042E;
3044
32'hFFFF2BA6: romout <= 16'h2F49;
3045
32'hFFFF2BA8: romout <= 16'h2F00;
3046
32'hFFFF2BAA: romout <= 16'h6100;
3047
32'hFFFF2BAC: romout <= 16'h000C;
3048
32'hFFFF2BAE: romout <= 16'h221F;
3049
32'hFFFF2BB0: romout <= 16'hC141;
3050
32'hFFFF2BB2: romout <= 16'h6100;
3051
32'hFFFF2BB4: romout <= 16'h00FE;
3052
32'hFFFF2BB6: romout <= 16'h60D6;
3053
32'hFFFF2BB8: romout <= 16'h43F9;
3054
32'hFFFF2BBA: romout <= 16'hFFFF;
3055
32'hFFFF2BBC: romout <= 16'h2569;
3056
32'hFFFF2BBE: romout <= 16'h45F9;
3057
32'hFFFF2BC0: romout <= 16'hFFFF;
3058
32'hFFFF2BC2: romout <= 16'h25C8;
3059
32'hFFFF2BC4: romout <= 16'h6000;
3060
32'hFFFF2BC6: romout <= 16'hFA34;
3061
32'hFFFF2BC8: romout <= 16'h6100;
3062
32'hFFFF2BCA: romout <= 16'h002C;
3063
32'hFFFF2BCC: romout <= 16'h6508;
3064
32'hFFFF2BCE: romout <= 16'h2240;
3065
32'hFFFF2BD0: romout <= 16'h4280;
3066
32'hFFFF2BD2: romout <= 16'h2011;
3067
32'hFFFF2BD4: romout <= 16'h4E75;
3068
32'hFFFF2BD6: romout <= 16'h6100;
3069
32'hFFFF2BD8: romout <= 16'h0414;
3070
32'hFFFF2BDA: romout <= 16'h2001;
3071
32'hFFFF2BDC: romout <= 16'h4A82;
3072
32'hFFFF2BDE: romout <= 16'h66F4;
3073
32'hFFFF2BE0: romout <= 16'h6100;
3074
32'hFFFF2BE2: romout <= 16'h03F0;
3075
32'hFFFF2BE4: romout <= 16'h280D;
3076
32'hFFFF2BE6: romout <= 16'h6100;
3077
32'hFFFF2BE8: romout <= 16'hFEC2;
3078
32'hFFFF2BEA: romout <= 16'h6100;
3079
32'hFFFF2BEC: romout <= 16'h03E6;
3080
32'hFFFF2BEE: romout <= 16'h2903;
3081
32'hFFFF2BF0: romout <= 16'h4E75;
3082
32'hFFFF2BF2: romout <= 16'h6000;
3083
32'hFFFF2BF4: romout <= 16'h01B0;
3084
32'hFFFF2BF6: romout <= 16'h6100;
3085
32'hFFFF2BF8: romout <= 16'h042C;
3086
32'hFFFF2BFA: romout <= 16'h4280;
3087
32'hFFFF2BFC: romout <= 16'h1010;
3088
32'hFFFF2BFE: romout <= 16'h0400;
3089
32'hFFFF2C00: romout <= 16'h0040;
3090
32'hFFFF2C02: romout <= 16'h6554;
3091
32'hFFFF2C04: romout <= 16'h6628;
3092
32'hFFFF2C06: romout <= 16'h5288;
3093
32'hFFFF2C08: romout <= 16'h6100;
3094
32'hFFFF2C0A: romout <= 16'hFFD6;
3095
32'hFFFF2C0C: romout <= 16'hD080;
3096
32'hFFFF2C0E: romout <= 16'h6500;
3097
32'hFFFF2C10: romout <= 16'h01E0;
3098
32'hFFFF2C12: romout <= 16'hD080;
3099
32'hFFFF2C14: romout <= 16'h6500;
3100
32'hFFFF2C16: romout <= 16'h01DA;
3101
32'hFFFF2C18: romout <= 16'h2F00;
3102
32'hFFFF2C1A: romout <= 16'h6100;
3103
32'hFFFF2C1C: romout <= 16'h012C;
3104
32'hFFFF2C1E: romout <= 16'h221F;
3105
32'hFFFF2C20: romout <= 16'hB081;
3106
32'hFFFF2C22: romout <= 16'h6300;
3107
32'hFFFF2C24: romout <= 16'h01C2;
3108
32'hFFFF2C26: romout <= 16'h2038;
3109
32'hFFFF2C28: romout <= 16'h0628;
3110
32'hFFFF2C2A: romout <= 16'h9081;
3111
32'hFFFF2C2C: romout <= 16'h4E75;
3112
32'hFFFF2C2E: romout <= 16'hB03C;
3113
32'hFFFF2C30: romout <= 16'h001B;
3114
32'hFFFF2C32: romout <= 16'h0A3C;
3115
32'hFFFF2C34: romout <= 16'h0001;
3116
32'hFFFF2C36: romout <= 16'h6520;
3117
32'hFFFF2C38: romout <= 16'h5288;
3118
32'hFFFF2C3A: romout <= 16'h4281;
3119
32'hFFFF2C3C: romout <= 16'h1210;
3120
32'hFFFF2C3E: romout <= 16'h6100;
3121
32'hFFFF2C40: romout <= 16'h001A;
3122
32'hFFFF2C42: romout <= 16'h0C01;
3123
32'hFFFF2C44: romout <= 16'h00FF;
3124
32'hFFFF2C46: romout <= 16'h6706;
3125
32'hFFFF2C48: romout <= 16'h5288;
3126
32'hFFFF2C4A: romout <= 16'hEB81;
3127
32'hFFFF2C4C: romout <= 16'hD081;
3128
32'hFFFF2C4E: romout <= 16'hD080;
3129
32'hFFFF2C50: romout <= 16'hD080;
3130
32'hFFFF2C52: romout <= 16'h2238;
3131
32'hFFFF2C54: romout <= 16'h0628;
3132
32'hFFFF2C56: romout <= 16'hD081;
3133
32'hFFFF2C58: romout <= 16'h4E75;
3134
32'hFFFF2C5A: romout <= 16'h0C01;
3135
32'hFFFF2C5C: romout <= 16'h0041;
3136
32'hFFFF2C5E: romout <= 16'h650C;
3137
32'hFFFF2C60: romout <= 16'h0C01;
3138
32'hFFFF2C62: romout <= 16'h005A;
3139
32'hFFFF2C64: romout <= 16'h6206;
3140
32'hFFFF2C66: romout <= 16'h0401;
3141
32'hFFFF2C68: romout <= 16'h0041;
3142
32'hFFFF2C6A: romout <= 16'h4E75;
3143
32'hFFFF2C6C: romout <= 16'h72FF;
3144
32'hFFFF2C6E: romout <= 16'h4E75;
3145
32'hFFFF2C70: romout <= 16'h2801;
3146
32'hFFFF2C72: romout <= 16'hB184;
3147
32'hFFFF2C74: romout <= 16'h4A80;
3148
32'hFFFF2C76: romout <= 16'h6A02;
3149
32'hFFFF2C78: romout <= 16'h4480;
3150
32'hFFFF2C7A: romout <= 16'h4A81;
3151
32'hFFFF2C7C: romout <= 16'h6A02;
3152
32'hFFFF2C7E: romout <= 16'h4481;
3153
32'hFFFF2C80: romout <= 16'hB2BC;
3154
32'hFFFF2C82: romout <= 16'h0000;
3155
32'hFFFF2C84: romout <= 16'hFFFF;
3156
32'hFFFF2C86: romout <= 16'h630C;
3157
32'hFFFF2C88: romout <= 16'hC141;
3158
32'hFFFF2C8A: romout <= 16'hB2BC;
3159
32'hFFFF2C8C: romout <= 16'h0000;
3160
32'hFFFF2C8E: romout <= 16'hFFFF;
3161
32'hFFFF2C90: romout <= 16'h6200;
3162
32'hFFFF2C92: romout <= 16'h015E;
3163
32'hFFFF2C94: romout <= 16'h2400;
3164
32'hFFFF2C96: romout <= 16'hC4C1;
3165
32'hFFFF2C98: romout <= 16'h4840;
3166
32'hFFFF2C9A: romout <= 16'hC0C1;
3167
32'hFFFF2C9C: romout <= 16'h4840;
3168
32'hFFFF2C9E: romout <= 16'h4A80;
3169
32'hFFFF2CA0: romout <= 16'h6600;
3170
32'hFFFF2CA2: romout <= 16'h014E;
3171
32'hFFFF2CA4: romout <= 16'hD082;
3172
32'hFFFF2CA6: romout <= 16'h6B00;
3173
32'hFFFF2CA8: romout <= 16'h0148;
3174
32'hFFFF2CAA: romout <= 16'h4A84;
3175
32'hFFFF2CAC: romout <= 16'h6A02;
3176
32'hFFFF2CAE: romout <= 16'h4480;
3177
32'hFFFF2CB0: romout <= 16'h4E75;
3178
32'hFFFF2CB2: romout <= 16'h4A81;
3179
32'hFFFF2CB4: romout <= 16'h6700;
3180
32'hFFFF2CB6: romout <= 16'h013A;
3181
32'hFFFF2CB8: romout <= 16'h2401;
3182
32'hFFFF2CBA: romout <= 16'h2801;
3183
32'hFFFF2CBC: romout <= 16'hB184;
3184
32'hFFFF2CBE: romout <= 16'h4A80;
3185
32'hFFFF2CC0: romout <= 16'h6A02;
3186
32'hFFFF2CC2: romout <= 16'h4480;
3187
32'hFFFF2CC4: romout <= 16'h4A81;
3188
32'hFFFF2CC6: romout <= 16'h6A02;
3189
32'hFFFF2CC8: romout <= 16'h4481;
3190
32'hFFFF2CCA: romout <= 16'h761F;
3191
32'hFFFF2CCC: romout <= 16'h2200;
3192
32'hFFFF2CCE: romout <= 16'h4280;
3193
32'hFFFF2CD0: romout <= 16'hD281;
3194
32'hFFFF2CD2: romout <= 16'hD180;
3195
32'hFFFF2CD4: romout <= 16'h6708;
3196
32'hFFFF2CD6: romout <= 16'hB082;
3197
32'hFFFF2CD8: romout <= 16'h6B04;
3198
32'hFFFF2CDA: romout <= 16'h5281;
3199
32'hFFFF2CDC: romout <= 16'h9082;
3200
32'hFFFF2CDE: romout <= 16'h51CB;
3201
32'hFFFF2CE0: romout <= 16'hFFF0;
3202
32'hFFFF2CE2: romout <= 16'hC141;
3203
32'hFFFF2CE4: romout <= 16'h4A84;
3204
32'hFFFF2CE6: romout <= 16'h6A04;
3205
32'hFFFF2CE8: romout <= 16'h4480;
3206
32'hFFFF2CEA: romout <= 16'h4481;
3207
32'hFFFF2CEC: romout <= 16'h4E75;
3208
32'hFFFF2CEE: romout <= 16'h6100;
3209
32'hFFFF2CF0: romout <= 16'hFEF0;
3210
32'hFFFF2CF2: romout <= 16'h2240;
3211
32'hFFFF2CF4: romout <= 16'h4280;
3212
32'hFFFF2CF6: romout <= 16'h1011;
3213
32'hFFFF2CF8: romout <= 16'h4E75;
3214
32'hFFFF2CFA: romout <= 16'h6100;
3215
32'hFFFF2CFC: romout <= 16'hFEE4;
3216
32'hFFFF2CFE: romout <= 16'h4A80;
3217
32'hFFFF2D00: romout <= 16'h6700;
3218
32'hFFFF2D02: romout <= 16'h00EE;
3219
32'hFFFF2D04: romout <= 16'h6B00;
3220
32'hFFFF2D06: romout <= 16'h00EA;
3221
32'hFFFF2D08: romout <= 16'h2200;
3222
32'hFFFF2D0A: romout <= 16'h3039;
3223
32'hFFFF2D0C: romout <= 16'hFFDC;
3224
32'hFFFF2D0E: romout <= 16'h0C02;
3225
32'hFFFF2D10: romout <= 16'h4840;
3226
32'hFFFF2D12: romout <= 16'h3039;
3227
32'hFFFF2D14: romout <= 16'hFFDC;
3228
32'hFFFF2D16: romout <= 16'h0C00;
3229
32'hFFFF2D18: romout <= 16'h0880;
3230
32'hFFFF2D1A: romout <= 16'h001F;
3231
32'hFFFF2D1C: romout <= 16'h6100;
3232
32'hFFFF2D1E: romout <= 16'hFF94;
3233
32'hFFFF2D20: romout <= 16'h2001;
3234
32'hFFFF2D22: romout <= 16'h5280;
3235
32'hFFFF2D24: romout <= 16'h4E75;
3236
32'hFFFF2D26: romout <= 16'h6100;
3237
32'hFFFF2D28: romout <= 16'hFEB8;
3238
32'hFFFF2D2A: romout <= 16'h4A80;
3239
32'hFFFF2D2C: romout <= 16'h6A06;
3240
32'hFFFF2D2E: romout <= 16'h4480;
3241
32'hFFFF2D30: romout <= 16'h6B00;
3242
32'hFFFF2D32: romout <= 16'h00BE;
3243
32'hFFFF2D34: romout <= 16'h4E75;
3244
32'hFFFF2D36: romout <= 16'h6100;
3245
32'hFFFF2D38: romout <= 16'hFEA8;
3246
32'hFFFF2D3A: romout <= 16'h4A80;
3247
32'hFFFF2D3C: romout <= 16'h6704;
3248
32'hFFFF2D3E: romout <= 16'h6B04;
3249
32'hFFFF2D40: romout <= 16'h7001;
3250
32'hFFFF2D42: romout <= 16'h4E75;
3251
32'hFFFF2D44: romout <= 16'h70FF;
3252
32'hFFFF2D46: romout <= 16'h4E75;
3253
32'hFFFF2D48: romout <= 16'h2038;
3254
32'hFFFF2D4A: romout <= 16'h0628;
3255
32'hFFFF2D4C: romout <= 16'h90B8;
3256
32'hFFFF2D4E: romout <= 16'h0624;
3257
32'hFFFF2D50: romout <= 16'h4E75;
3258
32'hFFFF2D52: romout <= 16'h2038;
3259
32'hFFFF2D54: romout <= 16'h0400;
3260
32'hFFFF2D56: romout <= 16'h4E75;
3261
32'hFFFF2D58: romout <= 16'h6100;
3262
32'hFFFF2D5A: romout <= 16'hF154;
3263
32'hFFFF2D5C: romout <= 16'h0280;
3264
32'hFFFF2D5E: romout <= 16'h0000;
3265
32'hFFFF2D60: romout <= 16'hFFFF;
3266
32'hFFFF2D62: romout <= 16'h4E75;
3267
32'hFFFF2D64: romout <= 16'h6100;
3268
32'hFFFF2D66: romout <= 16'hFE90;
3269
32'hFFFF2D68: romout <= 16'h653A;
3270
32'hFFFF2D6A: romout <= 16'h2F00;
3271
32'hFFFF2D6C: romout <= 16'h6100;
3272
32'hFFFF2D6E: romout <= 16'h0264;
3273
32'hFFFF2D70: romout <= 16'h3D0B;
3274
32'hFFFF2D72: romout <= 16'h6100;
3275
32'hFFFF2D74: romout <= 16'hFD36;
3276
32'hFFFF2D76: romout <= 16'h2C5F;
3277
32'hFFFF2D78: romout <= 16'h2C80;
3278
32'hFFFF2D7A: romout <= 16'h4E75;
3279
32'hFFFF2D7C: romout <= 16'h6026;
3280
32'hFFFF2D7E: romout <= 16'h6100;
3281
32'hFFFF2D80: romout <= 16'h0252;
3282
32'hFFFF2D82: romout <= 16'h3A07;
3283
32'hFFFF2D84: romout <= 16'h588F;
3284
32'hFFFF2D86: romout <= 16'h6000;
3285
32'hFFFF2D88: romout <= 16'hF904;
3286
32'hFFFF2D8A: romout <= 16'h6100;
3287
32'hFFFF2D8C: romout <= 16'h0246;
3288
32'hFFFF2D8E: romout <= 16'h0D07;
3289
32'hFFFF2D90: romout <= 16'h588F;
3290
32'hFFFF2D92: romout <= 16'h6000;
3291
32'hFFFF2D94: romout <= 16'hF8DC;
3292
32'hFFFF2D96: romout <= 16'h4E75;
3293
32'hFFFF2D98: romout <= 16'h6100;
3294
32'hFFFF2D9A: romout <= 16'h028A;
3295
32'hFFFF2D9C: romout <= 16'h0C10;
3296
32'hFFFF2D9E: romout <= 16'h000D;
3297
32'hFFFF2DA0: romout <= 16'h6602;
3298
32'hFFFF2DA2: romout <= 16'h4E75;
3299
32'hFFFF2DA4: romout <= 16'h2F08;
3300
32'hFFFF2DA6: romout <= 16'h4DF9;
3301
32'hFFFF2DA8: romout <= 16'hFFFF;
3302
32'hFFFF2DAA: romout <= 16'h312C;
3303
32'hFFFF2DAC: romout <= 16'h6100;
3304
32'hFFFF2DAE: romout <= 16'h02E6;
3305
32'hFFFF2DB0: romout <= 16'h205F;
3306
32'hFFFF2DB2: romout <= 16'h2038;
3307
32'hFFFF2DB4: romout <= 16'h0604;
3308
32'hFFFF2DB6: romout <= 16'h6700;
3309
32'hFFFF2DB8: romout <= 16'hF6A8;
3310
32'hFFFF2DBA: romout <= 16'hB0BC;
3311
32'hFFFF2DBC: romout <= 16'hFFFF;
3312
32'hFFFF2DBE: romout <= 16'hFFFF;
3313
32'hFFFF2DC0: romout <= 16'h6700;
3314
32'hFFFF2DC2: romout <= 16'hFAAA;
3315
32'hFFFF2DC4: romout <= 16'h1F10;
3316
32'hFFFF2DC6: romout <= 16'h4210;
3317
32'hFFFF2DC8: romout <= 16'h2278;
3318
32'hFFFF2DCA: romout <= 16'h0604;
3319
32'hFFFF2DCC: romout <= 16'h6100;
3320
32'hFFFF2DCE: romout <= 16'h01E8;
3321
32'hFFFF2DD0: romout <= 16'h109F;
3322
32'hFFFF2DD2: romout <= 16'h103C;
3323
32'hFFFF2DD4: romout <= 16'h003F;
3324
32'hFFFF2DD6: romout <= 16'h6100;
3325
32'hFFFF2DD8: romout <= 16'hF630;
3326
32'hFFFF2DDA: romout <= 16'h4280;
3327
32'hFFFF2DDC: romout <= 16'h5389;
3328
32'hFFFF2DDE: romout <= 16'h6100;
3329
32'hFFFF2DE0: romout <= 16'h013E;
3330
32'hFFFF2DE2: romout <= 16'h6000;
3331
32'hFFFF2DE4: romout <= 16'hF67C;
3332
32'hFFFF2DE6: romout <= 16'h2F08;
3333
32'hFFFF2DE8: romout <= 16'h4DF9;
3334
32'hFFFF2DEA: romout <= 16'hFFFF;
3335
32'hFFFF2DEC: romout <= 16'h3134;
3336
32'hFFFF2DEE: romout <= 16'h60BC;
3337
32'hFFFF2DF0: romout <= 16'h2F08;
3338
32'hFFFF2DF2: romout <= 16'h4DF9;
3339
32'hFFFF2DF4: romout <= 16'hFFFF;
3340
32'hFFFF2DF6: romout <= 16'h3125;
3341
32'hFFFF2DF8: romout <= 16'h60B2;
3342
32'hFFFF2DFA: romout <= 16'h6100;
3343
32'hFFFF2DFC: romout <= 16'hF60C;
3344
32'hFFFF2DFE: romout <= 16'h103C;
3345
32'hFFFF2E00: romout <= 16'h0020;
3346
32'hFFFF2E02: romout <= 16'h6100;
3347
32'hFFFF2E04: romout <= 16'hF604;
3348
32'hFFFF2E06: romout <= 16'h41F8;
3349
32'hFFFF2E08: romout <= 16'h0630;
3350
32'hFFFF2E0A: romout <= 16'h6100;
3351
32'hFFFF2E0C: romout <= 16'h0270;
3352
32'hFFFF2E0E: romout <= 16'h67FA;
3353
32'hFFFF2E10: romout <= 16'hB03C;
3354
32'hFFFF2E12: romout <= 16'h0008;
3355
32'hFFFF2E14: romout <= 16'h6726;
3356
32'hFFFF2E16: romout <= 16'hB03C;
3357
32'hFFFF2E18: romout <= 16'h0018;
3358
32'hFFFF2E1A: romout <= 16'h6744;
3359
32'hFFFF2E1C: romout <= 16'hB03C;
3360
32'hFFFF2E1E: romout <= 16'h000D;
3361
32'hFFFF2E20: romout <= 16'h6706;
3362
32'hFFFF2E22: romout <= 16'hB03C;
3363
32'hFFFF2E24: romout <= 16'h0020;
3364
32'hFFFF2E26: romout <= 16'h65E2;
3365
32'hFFFF2E28: romout <= 16'h10C0;
3366
32'hFFFF2E2A: romout <= 16'h6100;
3367
32'hFFFF2E2C: romout <= 16'hF5DC;
3368
32'hFFFF2E2E: romout <= 16'hB03C;
3369
32'hFFFF2E30: romout <= 16'h000D;
3370
32'hFFFF2E32: romout <= 16'h675C;
3371
32'hFFFF2E34: romout <= 16'hB1FC;
3372
32'hFFFF2E36: romout <= 16'h0000;
3373
32'hFFFF2E38: romout <= 16'h067F;
3374
32'hFFFF2E3A: romout <= 16'h65CE;
3375
32'hFFFF2E3C: romout <= 16'h103C;
3376
32'hFFFF2E3E: romout <= 16'h0008;
3377
32'hFFFF2E40: romout <= 16'h6100;
3378
32'hFFFF2E42: romout <= 16'hF5C6;
3379
32'hFFFF2E44: romout <= 16'h103C;
3380
32'hFFFF2E46: romout <= 16'h0020;
3381
32'hFFFF2E48: romout <= 16'h6100;
3382
32'hFFFF2E4A: romout <= 16'hF5BE;
3383
32'hFFFF2E4C: romout <= 16'hB1FC;
3384
32'hFFFF2E4E: romout <= 16'h0000;
3385
32'hFFFF2E50: romout <= 16'h0630;
3386
32'hFFFF2E52: romout <= 16'h63B6;
3387
32'hFFFF2E54: romout <= 16'h103C;
3388
32'hFFFF2E56: romout <= 16'h0008;
3389
32'hFFFF2E58: romout <= 16'h6100;
3390
32'hFFFF2E5A: romout <= 16'hF5AE;
3391
32'hFFFF2E5C: romout <= 16'h5388;
3392
32'hFFFF2E5E: romout <= 16'h60AA;
3393
32'hFFFF2E60: romout <= 16'h2208;
3394
32'hFFFF2E62: romout <= 16'h0481;
3395
32'hFFFF2E64: romout <= 16'h0000;
3396
32'hFFFF2E66: romout <= 16'h0630;
3397
32'hFFFF2E68: romout <= 16'h671E;
3398
32'hFFFF2E6A: romout <= 16'h5381;
3399
32'hFFFF2E6C: romout <= 16'h103C;
3400
32'hFFFF2E6E: romout <= 16'h0008;
3401
32'hFFFF2E70: romout <= 16'h6100;
3402
32'hFFFF2E72: romout <= 16'hF596;
3403
32'hFFFF2E74: romout <= 16'h103C;
3404
32'hFFFF2E76: romout <= 16'h0020;
3405
32'hFFFF2E78: romout <= 16'h6100;
3406
32'hFFFF2E7A: romout <= 16'hF58E;
3407
32'hFFFF2E7C: romout <= 16'h103C;
3408
32'hFFFF2E7E: romout <= 16'h0008;
3409
32'hFFFF2E80: romout <= 16'h6100;
3410
32'hFFFF2E82: romout <= 16'hF586;
3411
32'hFFFF2E84: romout <= 16'h51C9;
3412
32'hFFFF2E86: romout <= 16'hFFE6;
3413
32'hFFFF2E88: romout <= 16'h41F8;
3414
32'hFFFF2E8A: romout <= 16'h0630;
3415
32'hFFFF2E8C: romout <= 16'h6000;
3416
32'hFFFF2E8E: romout <= 16'hFF7C;
3417
32'hFFFF2E90: romout <= 16'h103C;
3418
32'hFFFF2E92: romout <= 16'h000A;
3419
32'hFFFF2E94: romout <= 16'h6100;
3420
32'hFFFF2E96: romout <= 16'hF572;
3421
32'hFFFF2E98: romout <= 16'h4E75;
3422
32'hFFFF2E9A: romout <= 16'hB2BC;
3423
32'hFFFF2E9C: romout <= 16'h0000;
3424
32'hFFFF2E9E: romout <= 16'hFFFF;
3425
32'hFFFF2EA0: romout <= 16'h6400;
3426
32'hFFFF2EA2: romout <= 16'hFF4E;
3427
32'hFFFF2EA4: romout <= 16'h2279;
3428
32'hFFFF2EA6: romout <= 16'hFFFF;
3429
32'hFFFF2EA8: romout <= 16'h241C;
3430
32'hFFFF2EAA: romout <= 16'h2478;
3431
32'hFFFF2EAC: romout <= 16'h0624;
3432
32'hFFFF2EAE: romout <= 16'h538A;
3433
32'hFFFF2EB0: romout <= 16'hB5C9;
3434
32'hFFFF2EB2: romout <= 16'h650C;
3435
32'hFFFF2EB4: romout <= 16'h1411;
3436
32'hFFFF2EB6: romout <= 16'hE14A;
3437
32'hFFFF2EB8: romout <= 16'h1429;
3438
32'hFFFF2EBA: romout <= 16'h0001;
3439
32'hFFFF2EBC: romout <= 16'hB441;
3440
32'hFFFF2EBE: romout <= 16'h6502;
3441
32'hFFFF2EC0: romout <= 16'h4E75;
3442
32'hFFFF2EC2: romout <= 16'h5489;
3443
32'hFFFF2EC4: romout <= 16'h0C19;
3444
32'hFFFF2EC6: romout <= 16'h000D;
3445
32'hFFFF2EC8: romout <= 16'h66FA;
3446
32'hFFFF2ECA: romout <= 16'h60DE;
3447
32'hFFFF2ECC: romout <= 16'hB7C9;
3448
32'hFFFF2ECE: romout <= 16'h6704;
3449
32'hFFFF2ED0: romout <= 16'h14D9;
3450
32'hFFFF2ED2: romout <= 16'h60F8;
3451
32'hFFFF2ED4: romout <= 16'h4E75;
3452
32'hFFFF2ED6: romout <= 16'hB5C9;
3453
32'hFFFF2ED8: romout <= 16'h67FA;
3454
32'hFFFF2EDA: romout <= 16'h1721;
3455
32'hFFFF2EDC: romout <= 16'h60F8;
3456
32'hFFFF2EDE: romout <= 16'h2C5F;
3457
32'hFFFF2EE0: romout <= 16'h21DF;
3458
32'hFFFF2EE2: romout <= 16'h0610;
3459
32'hFFFF2EE4: romout <= 16'h6710;
3460
32'hFFFF2EE6: romout <= 16'h21DF;
3461
32'hFFFF2EE8: romout <= 16'h0614;
3462
32'hFFFF2EEA: romout <= 16'h21DF;
3463
32'hFFFF2EEC: romout <= 16'h0618;
3464
32'hFFFF2EEE: romout <= 16'h21DF;
3465
32'hFFFF2EF0: romout <= 16'h061C;
3466
32'hFFFF2EF2: romout <= 16'h21DF;
3467
32'hFFFF2EF4: romout <= 16'h0620;
3468
32'hFFFF2EF6: romout <= 16'h4ED6;
3469
32'hFFFF2EF8: romout <= 16'h2238;
3470
32'hFFFF2EFA: romout <= 16'h062C;
3471
32'hFFFF2EFC: romout <= 16'h928F;
3472
32'hFFFF2EFE: romout <= 16'h6400;
3473
32'hFFFF2F00: romout <= 16'hFEE6;
3474
32'hFFFF2F02: romout <= 16'h2C5F;
3475
32'hFFFF2F04: romout <= 16'h2238;
3476
32'hFFFF2F06: romout <= 16'h0610;
3477
32'hFFFF2F08: romout <= 16'h6710;
3478
32'hFFFF2F0A: romout <= 16'h2F38;
3479
32'hFFFF2F0C: romout <= 16'h0620;
3480
32'hFFFF2F0E: romout <= 16'h2F38;
3481
32'hFFFF2F10: romout <= 16'h061C;
3482
32'hFFFF2F12: romout <= 16'h2F38;
3483
32'hFFFF2F14: romout <= 16'h0618;
3484
32'hFFFF2F16: romout <= 16'h2F38;
3485
32'hFFFF2F18: romout <= 16'h0614;
3486
32'hFFFF2F1A: romout <= 16'h2F01;
3487
32'hFFFF2F1C: romout <= 16'h4ED6;
3488
32'hFFFF2F1E: romout <= 16'h1200;
3489
32'hFFFF2F20: romout <= 16'h1019;
3490
32'hFFFF2F22: romout <= 16'hB200;
3491
32'hFFFF2F24: romout <= 16'h6712;
3492
32'hFFFF2F26: romout <= 16'h6100;
3493
32'hFFFF2F28: romout <= 16'hF4E0;
3494
32'hFFFF2F2A: romout <= 16'hB03C;
3495
32'hFFFF2F2C: romout <= 16'h000D;
3496
32'hFFFF2F2E: romout <= 16'h66F0;
3497
32'hFFFF2F30: romout <= 16'h103C;
3498
32'hFFFF2F32: romout <= 16'h000A;
3499
32'hFFFF2F34: romout <= 16'h6100;
3500
32'hFFFF2F36: romout <= 16'hF4D2;
3501
32'hFFFF2F38: romout <= 16'h4E75;
3502
32'hFFFF2F3A: romout <= 16'h6100;
3503
32'hFFFF2F3C: romout <= 16'h0096;
3504
32'hFFFF2F3E: romout <= 16'h221B;
3505
32'hFFFF2F40: romout <= 16'h103C;
3506
32'hFFFF2F42: romout <= 16'h0022;
3507
32'hFFFF2F44: romout <= 16'h2248;
3508
32'hFFFF2F46: romout <= 16'h6100;
3509
32'hFFFF2F48: romout <= 16'hFFD6;
3510
32'hFFFF2F4A: romout <= 16'h2049;
3511
32'hFFFF2F4C: romout <= 16'h225F;
3512
32'hFFFF2F4E: romout <= 16'hB03C;
3513
32'hFFFF2F50: romout <= 16'h000A;
3514
32'hFFFF2F52: romout <= 16'h6700;
3515
32'hFFFF2F54: romout <= 16'hF71C;
3516
32'hFFFF2F56: romout <= 16'h5489;
3517
32'hFFFF2F58: romout <= 16'h4ED1;
3518
32'hFFFF2F5A: romout <= 16'h6100;
3519
32'hFFFF2F5C: romout <= 16'h0076;
3520
32'hFFFF2F5E: romout <= 16'h2707;
3521
32'hFFFF2F60: romout <= 16'h103C;
3522
32'hFFFF2F62: romout <= 16'h0027;
3523
32'hFFFF2F64: romout <= 16'h60DE;
3524
32'hFFFF2F66: romout <= 16'h6100;
3525
32'hFFFF2F68: romout <= 16'h006A;
3526
32'hFFFF2F6A: romout <= 16'h5F0D;
3527
32'hFFFF2F6C: romout <= 16'h103C;
3528
32'hFFFF2F6E: romout <= 16'h000D;
3529
32'hFFFF2F70: romout <= 16'h6100;
3530
32'hFFFF2F72: romout <= 16'hF496;
3531
32'hFFFF2F74: romout <= 16'h225F;
3532
32'hFFFF2F76: romout <= 16'h60DE;
3533
32'hFFFF2F78: romout <= 16'h4E75;
3534
32'hFFFF2F7A: romout <= 16'h48E7;
3535
32'hFFFF2F7C: romout <= 16'hC844;
3536
32'hFFFF2F7E: romout <= 16'h4BF8;
3537
32'hFFFF2F80: romout <= 16'h0700;
3538
32'hFFFF2F82: romout <= 16'h2001;
3539
32'hFFFF2F84: romout <= 16'h4EB9;
3540
32'hFFFF2F86: romout <= 16'hFFFF;
3541
32'hFFFF2F88: romout <= 16'h313E;
3542
32'hFFFF2F8A: romout <= 16'h4BF8;
3543
32'hFFFF2F8C: romout <= 16'h0700;
3544
32'hFFFF2F8E: romout <= 16'h101D;
3545
32'hFFFF2F90: romout <= 16'h6704;
3546
32'hFFFF2F92: romout <= 16'h51CC;
3547
32'hFFFF2F94: romout <= 16'hFFFA;
3548
32'hFFFF2F96: romout <= 16'h4A44;
3549
32'hFFFF2F98: romout <= 16'h6B0C;
3550
32'hFFFF2F9A: romout <= 16'h103C;
3551
32'hFFFF2F9C: romout <= 16'h0020;
3552
32'hFFFF2F9E: romout <= 16'h6100;
3553
32'hFFFF2FA0: romout <= 16'hF468;
3554
32'hFFFF2FA2: romout <= 16'h51CC;
3555
32'hFFFF2FA4: romout <= 16'hFFF2;
3556
32'hFFFF2FA6: romout <= 16'h43F8;
3557
32'hFFFF2FA8: romout <= 16'h0700;
3558
32'hFFFF2FAA: romout <= 16'h4EB9;
3559
32'hFFFF2FAC: romout <= 16'hFFFF;
3560
32'hFFFF2FAE: romout <= 16'h1858;
3561
32'hFFFF2FB0: romout <= 16'h4CDF;
3562
32'hFFFF2FB2: romout <= 16'h2213;
3563
32'hFFFF2FB4: romout <= 16'h4E75;
3564
32'hFFFF2FB6: romout <= 16'h4281;
3565
32'hFFFF2FB8: romout <= 16'h1219;
3566
32'hFFFF2FBA: romout <= 16'hE189;
3567
32'hFFFF2FBC: romout <= 16'h1219;
3568
32'hFFFF2FBE: romout <= 16'h7805;
3569
32'hFFFF2FC0: romout <= 16'h6100;
3570
32'hFFFF2FC2: romout <= 16'hFFB8;
3571
32'hFFFF2FC4: romout <= 16'h103C;
3572
32'hFFFF2FC6: romout <= 16'h0020;
3573
32'hFFFF2FC8: romout <= 16'h6100;
3574
32'hFFFF2FCA: romout <= 16'hF43E;
3575
32'hFFFF2FCC: romout <= 16'h4280;
3576
32'hFFFF2FCE: romout <= 16'h6000;
3577
32'hFFFF2FD0: romout <= 16'hFF4E;
3578
32'hFFFF2FD2: romout <= 16'h6100;
3579
32'hFFFF2FD4: romout <= 16'h0050;
3580
32'hFFFF2FD6: romout <= 16'h225F;
3581
32'hFFFF2FD8: romout <= 16'h1219;
3582
32'hFFFF2FDA: romout <= 16'hB210;
3583
32'hFFFF2FDC: romout <= 16'h6708;
3584
32'hFFFF2FDE: romout <= 16'h4281;
3585
32'hFFFF2FE0: romout <= 16'h1211;
3586
32'hFFFF2FE2: romout <= 16'hD3C1;
3587
32'hFFFF2FE4: romout <= 16'h4ED1;
3588
32'hFFFF2FE6: romout <= 16'h5288;
3589
32'hFFFF2FE8: romout <= 16'h5289;
3590
32'hFFFF2FEA: romout <= 16'h4ED1;
3591
32'hFFFF2FEC: romout <= 16'h4281;
3592
32'hFFFF2FEE: romout <= 16'h4282;
3593
32'hFFFF2FF0: romout <= 16'h6100;
3594
32'hFFFF2FF2: romout <= 16'h0032;
3595
32'hFFFF2FF4: romout <= 16'h0C10;
3596
32'hFFFF2FF6: romout <= 16'h0030;
3597
32'hFFFF2FF8: romout <= 16'h6528;
3598
32'hFFFF2FFA: romout <= 16'h0C10;
3599
32'hFFFF2FFC: romout <= 16'h0039;
3600
32'hFFFF2FFE: romout <= 16'h6222;
3601
32'hFFFF3000: romout <= 16'hB2BC;
3602
32'hFFFF3002: romout <= 16'h0CCC;
3603
32'hFFFF3004: romout <= 16'hCCCC;
3604
32'hFFFF3006: romout <= 16'h6400;
3605
32'hFFFF3008: romout <= 16'hFDE8;
3606
32'hFFFF300A: romout <= 16'h2001;
3607
32'hFFFF300C: romout <= 16'hD281;
3608
32'hFFFF300E: romout <= 16'hD281;
3609
32'hFFFF3010: romout <= 16'hD280;
3610
32'hFFFF3012: romout <= 16'hD281;
3611
32'hFFFF3014: romout <= 16'h1018;
3612
32'hFFFF3016: romout <= 16'h0280;
3613
32'hFFFF3018: romout <= 16'h0000;
3614
32'hFFFF301A: romout <= 16'h000F;
3615
32'hFFFF301C: romout <= 16'hD280;
3616
32'hFFFF301E: romout <= 16'h5282;
3617
32'hFFFF3020: romout <= 16'h60D2;
3618
32'hFFFF3022: romout <= 16'h4E75;
3619
32'hFFFF3024: romout <= 16'h0C10;
3620
32'hFFFF3026: romout <= 16'h0020;
3621
32'hFFFF3028: romout <= 16'h6604;
3622
32'hFFFF302A: romout <= 16'h5288;
3623
32'hFFFF302C: romout <= 16'h60F6;
3624
32'hFFFF302E: romout <= 16'h4E75;
3625
32'hFFFF3030: romout <= 16'h41F8;
3626
32'hFFFF3032: romout <= 16'h0630;
3627
32'hFFFF3034: romout <= 16'h4201;
3628
32'hFFFF3036: romout <= 16'h1018;
3629
32'hFFFF3038: romout <= 16'hB03C;
3630
32'hFFFF303A: romout <= 16'h000D;
3631
32'hFFFF303C: romout <= 16'h671A;
3632
32'hFFFF303E: romout <= 16'hB03C;
3633
32'hFFFF3040: romout <= 16'h0022;
3634
32'hFFFF3042: romout <= 16'h6716;
3635
32'hFFFF3044: romout <= 16'hB03C;
3636
32'hFFFF3046: romout <= 16'h0027;
3637
32'hFFFF3048: romout <= 16'h6710;
3638
32'hFFFF304A: romout <= 16'h4A01;
3639
32'hFFFF304C: romout <= 16'h66E8;
3640
32'hFFFF304E: romout <= 16'h6100;
3641
32'hFFFF3050: romout <= 16'h001A;
3642
32'hFFFF3052: romout <= 16'h1100;
3643
32'hFFFF3054: romout <= 16'h5288;
3644
32'hFFFF3056: romout <= 16'h60DE;
3645
32'hFFFF3058: romout <= 16'h4E75;
3646
32'hFFFF305A: romout <= 16'h4A01;
3647
32'hFFFF305C: romout <= 16'h6604;
3648
32'hFFFF305E: romout <= 16'h1200;
3649
32'hFFFF3060: romout <= 16'h60D4;
3650
32'hFFFF3062: romout <= 16'hB200;
3651
32'hFFFF3064: romout <= 16'h66D0;
3652
32'hFFFF3066: romout <= 16'h4201;
3653
32'hFFFF3068: romout <= 16'h60CC;
3654
32'hFFFF306A: romout <= 16'hB03C;
3655
32'hFFFF306C: romout <= 16'h0061;
3656
32'hFFFF306E: romout <= 16'h650A;
3657
32'hFFFF3070: romout <= 16'hB03C;
3658
32'hFFFF3072: romout <= 16'h007A;
3659
32'hFFFF3074: romout <= 16'h6204;
3660
32'hFFFF3076: romout <= 16'h0400;
3661
32'hFFFF3078: romout <= 16'h0020;
3662
32'hFFFF307A: romout <= 16'h4E75;
3663
32'hFFFF307C: romout <= 16'h6100;
3664
32'hFFFF307E: romout <= 16'hF38E;
3665
32'hFFFF3080: romout <= 16'h670A;
3666
32'hFFFF3082: romout <= 16'hB03C;
3667
32'hFFFF3084: romout <= 16'h0003;
3668
32'hFFFF3086: romout <= 16'h6604;
3669
32'hFFFF3088: romout <= 16'h6000;
3670
32'hFFFF308A: romout <= 16'hF3D6;
3671
32'hFFFF308C: romout <= 16'h4E75;
3672
32'hFFFF308E: romout <= 16'h4DF9;
3673
32'hFFFF3090: romout <= 16'hFFFF;
3674
32'hFFFF3092: romout <= 16'h313A;
3675
32'hFFFF3094: romout <= 16'h101E;
3676
32'hFFFF3096: romout <= 16'h6706;
3677
32'hFFFF3098: romout <= 16'h6100;
3678
32'hFFFF309A: romout <= 16'hF36E;
3679
32'hFFFF309C: romout <= 16'h60F6;
3680
32'hFFFF309E: romout <= 16'h4E75;
3681
32'hFFFF30A0: romout <= 16'h48E7;
3682
32'hFFFF30A2: romout <= 16'hC000;
3683
32'hFFFF30A4: romout <= 16'h2200;
3684
32'hFFFF30A6: romout <= 16'h4EB9;
3685
32'hFFFF30A8: romout <= 16'hFFFF;
3686
32'hFFFF30AA: romout <= 16'h1732;
3687
32'hFFFF30AC: romout <= 16'h4CDF;
3688
32'hFFFF30AE: romout <= 16'h0003;
3689
32'hFFFF30B0: romout <= 16'h4E75;
3690
32'hFFFF30B2: romout <= 16'h3039;
3691
32'hFFFF30B4: romout <= 16'hFFDC;
3692
32'hFFFF30B6: romout <= 16'h0000;
3693
32'hFFFF30B8: romout <= 16'h6A0C;
3694
32'hFFFF30BA: romout <= 16'h4279;
3695
32'hFFFF30BC: romout <= 16'hFFDC;
3696
32'hFFFF30BE: romout <= 16'h0002;
3697
32'hFFFF30C0: romout <= 16'h0240;
3698
32'hFFFF30C2: romout <= 16'h00FF;
3699
32'hFFFF30C4: romout <= 16'h4E75;
3700
32'hFFFF30C6: romout <= 16'h7000;
3701
32'hFFFF30C8: romout <= 16'h4E75;
3702
32'hFFFF30CA: romout <= 16'h0839;
3703
32'hFFFF30CC: romout <= 16'h0005;
3704
32'hFFFF30CE: romout <= 16'hFFDC;
3705
32'hFFFF30D0: romout <= 16'h0A01;
3706
32'hFFFF30D2: romout <= 16'h67F6;
3707
32'hFFFF30D4: romout <= 16'h13C0;
3708
32'hFFFF30D6: romout <= 16'hFFDC;
3709
32'hFFFF30D8: romout <= 16'h0A00;
3710
32'hFFFF30DA: romout <= 16'h4E75;
3711
32'hFFFF30DC: romout <= 16'h0839;
3712
32'hFFFF30DE: romout <= 16'h0000;
3713
32'hFFFF30E0: romout <= 16'hFFDC;
3714
32'hFFFF30E2: romout <= 16'h0A01;
3715
32'hFFFF30E4: romout <= 16'h670A;
3716
32'hFFFF30E6: romout <= 16'h1039;
3717
32'hFFFF30E8: romout <= 16'hFFDC;
3718
32'hFFFF30EA: romout <= 16'h0A00;
3719
32'hFFFF30EC: romout <= 16'h0200;
3720
32'hFFFF30EE: romout <= 16'h007F;
3721
32'hFFFF30F0: romout <= 16'h4E75;
3722
32'hFFFF30F2: romout <= 16'h4EF9;
3723
32'hFFFF30F4: romout <= 16'hFFFF;
3724
32'hFFFF30F6: romout <= 16'h1A7A;
3725
32'hFFFF30F8: romout <= 16'h0D0A;
3726
32'hFFFF30FA: romout <= 16'h476F;
3727
32'hFFFF30FC: romout <= 16'h7264;
3728
32'hFFFF30FE: romout <= 16'h6F27;
3729
32'hFFFF3100: romout <= 16'h7320;
3730
32'hFFFF3102: romout <= 16'h4D43;
3731
32'hFFFF3104: romout <= 16'h3638;
3732
32'hFFFF3106: romout <= 16'h3030;
3733
32'hFFFF3108: romout <= 16'h3020;
3734
32'hFFFF310A: romout <= 16'h5469;
3735
32'hFFFF310C: romout <= 16'h6E79;
3736
32'hFFFF310E: romout <= 16'h2042;
3737
32'hFFFF3110: romout <= 16'h4153;
3738
32'hFFFF3112: romout <= 16'h4943;
3739
32'hFFFF3114: romout <= 16'h2C20;
3740
32'hFFFF3116: romout <= 16'h7631;
3741
32'hFFFF3118: romout <= 16'h2E33;
3742
32'hFFFF311A: romout <= 16'h0D0A;
3743
32'hFFFF311C: romout <= 16'h0A00;
3744
32'hFFFF311E: romout <= 16'h0D0A;
3745
32'hFFFF3120: romout <= 16'h4F4B;
3746
32'hFFFF3122: romout <= 16'h0D0A;
3747
32'hFFFF3124: romout <= 16'h0048;
3748
32'hFFFF3126: romout <= 16'h6F77;
3749
32'hFFFF3128: romout <= 16'h3F0D;
3750
32'hFFFF312A: romout <= 16'h0A00;
3751
32'hFFFF312C: romout <= 16'h5768;
3752
32'hFFFF312E: romout <= 16'h6174;
3753
32'hFFFF3130: romout <= 16'h3F0D;
3754
32'hFFFF3132: romout <= 16'h0A00;
3755
32'hFFFF3134: romout <= 16'h536F;
3756
32'hFFFF3136: romout <= 16'h7272;
3757
32'hFFFF3138: romout <= 16'h792E;
3758
32'hFFFF313A: romout <= 16'h0D0A;
3759
32'hFFFF313C: romout <= 16'h00FF;
3760
32'hFFFF313E: romout <= 16'h48E7;
3761
32'hFFFF3140: romout <= 16'h7F00;
3762
32'hFFFF3142: romout <= 16'h2E00;
3763
32'hFFFF3144: romout <= 16'h6A08;
3764
32'hFFFF3146: romout <= 16'h4487;
3765
32'hFFFF3148: romout <= 16'h6B4E;
3766
32'hFFFF314A: romout <= 16'h1AFC;
3767
32'hFFFF314C: romout <= 16'h002D;
3768
32'hFFFF314E: romout <= 16'h4244;
3769
32'hFFFF3150: romout <= 16'h7C0A;
3770
32'hFFFF3152: romout <= 16'h7401;
3771
32'hFFFF3154: romout <= 16'h2206;
3772
32'hFFFF3156: romout <= 16'h5381;
3773
32'hFFFF3158: romout <= 16'h671A;
3774
32'hFFFF315A: romout <= 16'h3602;
3775
32'hFFFF315C: romout <= 16'hC6FC;
3776
32'hFFFF315E: romout <= 16'h000A;
3777
32'hFFFF3160: romout <= 16'h4842;
3778
32'hFFFF3162: romout <= 16'hC4FC;
3779
32'hFFFF3164: romout <= 16'h000A;
3780
32'hFFFF3166: romout <= 16'h4843;
3781
32'hFFFF3168: romout <= 16'hD443;
3782
32'hFFFF316A: romout <= 16'h4842;
3783
32'hFFFF316C: romout <= 16'h4843;
3784
32'hFFFF316E: romout <= 16'h3403;
3785
32'hFFFF3170: romout <= 16'h5381;
3786
32'hFFFF3172: romout <= 16'h66E6;
3787
32'hFFFF3174: romout <= 16'h4280;
3788
32'hFFFF3176: romout <= 16'hBE82;
3789
32'hFFFF3178: romout <= 16'h6D06;
3790
32'hFFFF317A: romout <= 16'h5280;
3791
32'hFFFF317C: romout <= 16'h9E82;
3792
32'hFFFF317E: romout <= 16'h60F6;
3793
32'hFFFF3180: romout <= 16'h4A00;
3794
32'hFFFF3182: romout <= 16'h6604;
3795
32'hFFFF3184: romout <= 16'h4A44;
3796
32'hFFFF3186: romout <= 16'h6708;
3797
32'hFFFF3188: romout <= 16'h0600;
3798
32'hFFFF318A: romout <= 16'h0030;
3799
32'hFFFF318C: romout <= 16'h1AC0;
3800
32'hFFFF318E: romout <= 16'h1800;
3801
32'hFFFF3190: romout <= 16'h5386;
3802
32'hFFFF3192: romout <= 16'h66BE;
3803
32'hFFFF3194: romout <= 16'h4A44;
3804
32'hFFFF3196: romout <= 16'h6604;
3805
32'hFFFF3198: romout <= 16'h1AFC;
3806
32'hFFFF319A: romout <= 16'h0030;
3807
32'hFFFF319C: romout <= 16'h1ABC;
3808
32'hFFFF319E: romout <= 16'h0000;
3809
32'hFFFF31A0: romout <= 16'h4CDF;
3810
32'hFFFF31A2: romout <= 16'h00FE;
3811
32'hFFFF31A4: romout <= 16'h4E75;
3812
default: romout <= 16'h0000;
3813
endcase
3814
always @(posedge clk)
3815
    romo <= romout;
3816
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.