OpenCores
URL https://opencores.org/ocsvn/rv01_riscv_core/rv01_riscv_core/trunk

Subversion Repositories rv01_riscv_core

[/] [rv01_riscv_core/] [trunk/] [SYN/] [XILINX/] [RV01_artix.xdc] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 madsilicon
create_clock -period 10.000 -name CLK_100M_i -waveform {0.000 5.000} [get_ports CLK_100M_i]
2
set_property IOSTANDARD LVCMOS33 [get_ports DONE_o]
3
set_property IOSTANDARD LVCMOS33 [get_ports CLK_100M_i]
4
set_property IOSTANDARD LVCMOS33 [get_ports PASS_o]
5
set_property IOSTANDARD LVCMOS33 [get_ports RSTN_i]
6
set_property IOSTANDARD LVCMOS33 [get_ports TIE_HIGH_o]
7
set_property IOSTANDARD LVCMOS33 [get_ports TIE_LOW_o]
8
set_property PACKAGE_PIN E3 [get_ports CLK_100M_i]
9
set_property PACKAGE_PIN T6 [get_ports TIE_HIGH_o]
10
 
11
set_property PACKAGE_PIN C12 [get_ports RSTN_i]
12
set_property PACKAGE_PIN T8 [get_ports DONE_o]
13
set_property PACKAGE_PIN V9 [get_ports PASS_o]
14
set_property PACKAGE_PIN R8 [get_ports TIE_LOW_o]
15
 
16
set_false_path -from [get_ports RSTN_i]
17
set_false_path -to [get_ports {TIE_HIGH_o TIE_LOW_o}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.