OpenCores
URL https://opencores.org/ocsvn/rv01_riscv_core/rv01_riscv_core/trunk

Subversion Repositories rv01_riscv_core

[/] [rv01_riscv_core/] [trunk/] [VHDL/] [RV01_misclog_ix3.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2016 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- RV01 Misc Logic IX3
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
 
36
library work;
37
use work.RV01_CONSTS_PKG.all;
38
use work.RV01_TYPES_PKG.all;
39
use work.RV01_IDEC_PKG.all;
40
 
41
entity RV01_MISCLOG_IX3 is
42
  generic(
43
    PXE : std_logic := '0';
44
    NW : natural := 2
45
  );
46
  port(
47
    IX1_V0_i : in std_logic;
48
    IX1_WCSR0_i : in std_logic;
49
    V_i : in std_logic_vector(NW-1 downto 0);
50
    DWE_i : in std_logic_vector(NW-1 downto 0);
51
    KPRD_i : in std_logic_vector(NW-1 downto 0);
52
    WRD0_i : in std_logic;
53
    WRD1_i : in std_logic;
54
    HALT_i : in std_logic_vector(NW-1 downto 0);
55
    CLRP_i : in std_logic;
56
    CLRD_i : in std_logic;
57
    HIS_i : in std_logic;
58
    PC0_i : in ADR_T;
59
    PC1_i : in ADR_T;
60
 
61
    CP_WE_o : out std_logic;
62
    SBRE_o : out std_logic_vector(NW-1 downto 0);
63
    STL_o : out std_logic_vector(NW-1 downto 0);
64
    WE_o : out std_logic_vector(NW-1 downto 0);
65
    HALT_o : out std_logic;
66
    CLRP_o : out std_logic;
67
    CLRD_o : out std_logic;
68
    HPC_o : out ADR_T
69
  );
70
end RV01_MISCLOG_IX3;
71
 
72
architecture ARC of RV01_MISCLOG_IX3 is
73
 
74
  signal HALT : std_logic;
75
 
76
begin
77
 
78
  -- CP write enable flag
79
  -- (WARNING: CSR's are written in IX1, rather than in IX3!)
80
 
81
  CP_WE_o <=
82
    IX1_V0_i and IX1_WCSR0_i;
83
 
84
  -- store buffer read enable
85
 
86
  SBRE_o(0) <=
87
    V_i(0) and DWE_i(0);
88
 
89
  SBRE_o(1) <=
90
    V_i(1) and DWE_i(1) and PXE;
91
 
92
  -- stall flags
93
 
94
  STL_o(0) <= KPRD_i(0);
95
  STL_o(1) <= KPRD_i(1);
96
 
97
  -- Register File write-enable flags
98
 
99
  WE_o(0) <= V_i(0) and WRD0_i;
100
  WE_o(1) <= V_i(1) and WRD1_i;
101
 
102
  -- processor halt flag
103
 
104
  HALT <= HALT_i(0) or HALT_i(1);
105
 
106
  HALT_o <= HALT;
107
 
108
  -- clear pipe flag
109
  CLRP_o <= CLRP_i or HALT;
110
 
111
  -- clear divider flag
112
  CLRD_o <= CLRD_i or HALT;
113
 
114
  -- halt PC
115
  HPC_o <= PC0_i when (HIS_i = '0') else PC1_i;
116
 
117
end ARC;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.