OpenCores
URL https://opencores.org/ocsvn/rv01_riscv_core/rv01_riscv_core/trunk

Subversion Repositories rv01_riscv_core

[/] [rv01_riscv_core/] [trunk/] [VHDL/] [RV01_op_pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2015 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- RV01 ALU, B/J and load/store operations package
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
 
36
library WORK;
37
use WORK.RV01_CONSTS_PKG.all;
38
 
39
package RV01_OP_PKG is
40
 
41
  -- Scalar ALU operation type
42
  type ALU_OP_T is (
43
    ALU_MOVB,
44
    ALU_ADD,
45
    ALU_AUIPC,
46
    ALU_JAL,
47
    ALU_SLT,
48
    ALU_SUB,
49
    ALU_MUL,
50
    ALU_MULH,
51
    ALU_MULHU,
52
    ALU_MULHSU,
53
    ALU_SHL,
54
    ALU_SHR,
55
    ALU_AND,
56
    ALU_OR,
57
    ALU_XOR,
58
    ALU_DIV,
59
    ALU_REM,
60
    ALU_NIL
61
  );
62
 
63
  type BJ_OP_T is (
64
    BJ_JAL,
65
    BJ_JALR,
66
    BJ_BEQ,
67
    BJ_BNE,
68
    BJ_BLT,
69
    BJ_BGE,
70
    BJ_NIL
71
  );
72
 
73
  type LS_OP_T is (
74
    LS_LB,
75
    LS_LH,
76
    LS_LW,
77
    LS_SB,
78
    LS_SH,
79
    LS_SW,
80
    LS_NIL
81
  );
82
 
83
 type CS_OP_T is (
84
   CS_RW,
85
   CS_RS,
86
   CS_RC,
87
   CS_RWI,
88
   CS_RSI,
89
   CS_RCI,
90
   CS_NIL
91
 );
92
 
93
 type FP_OP_T is (
94
   FP_ADDS,
95
   FP_SUBS,
96
   FP_MULS,
97
   FP_DIVS,
98
   FP_CVTWS,
99
   FP_CVTSW,
100
   FP_MVXS,
101
   FP_MVSX,
102
   FP_CMP,
103
   FP_NIL
104
 );
105
 
106
end package;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.