OpenCores
URL https://opencores.org/ocsvn/rv01_riscv_core/rv01_riscv_core/trunk

Subversion Repositories rv01_riscv_core

[/] [rv01_riscv_core/] [trunk/] [VHDL/] [RV01_plic.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2016 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS REQERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- RV01 PLIC
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
 
36
library work;
37
use work.RV01_CONSTS_PKG.all;
38
use work.RV01_FUNCS_PKG.all;
39
use work.RV01_PLIC_PKG.all;
40
 
41
entity RV01_PLIC is
42
  generic(
43
    SRC_CNT : natural := 8;
44
    TRIG_TYPE : PLIC_TRIG_TYPE := LEVEL;
45
    REQ_MAXCNT : natural := 16
46
  );
47
  port(
48
    CLK_i : in std_logic;
49
    RST_i : in std_logic;
50
    REG_A_i : in std_logic_vector(log2(SRC_CNT+1)-1 downto 0);
51
    REG_WE_i : in std_logic;
52
    REG_D_i : in std_logic_vector(SDLEN-1 downto 0);
53
    REQ_i : in std_logic_vector(SRC_CNT-1 downto 0);
54
 
55
    REG_Q_o : out std_logic_vector(SDLEN-1 downto 0);
56
    EIP_o : out std_logic
57
  );
58
end RV01_PLIC;
59
 
60
architecture ARC of RV01_PLIC is
61
 
62
  component RV01_PLIC_GWAY is
63
    generic(
64
      TRIG_TYPE : PLIC_TRIG_TYPE := LEVEL;
65
      REQ_MAXCNT : natural := 16
66
    );
67
    port(
68
      CLK_i : in std_logic;
69
      RST_i : in std_logic;
70
      REQ_i : in std_logic;
71
      IS_i : in std_logic;
72
 
73
      IP_o : out std_logic
74
    );
75
  end component ;
76
 
77
  component RV01_PLIC_CORE is
78
    generic(
79
      SRC_CNT : natural := 8
80
    );
81
    port(
82
      CLK_i : in std_logic;
83
      RST_i : in std_logic;
84
      REG_A_i : in std_logic_vector(log2(SRC_CNT+1)-1 downto 0);
85
      REG_WE_i : in std_logic;
86
      REG_D_i : in std_logic_vector(SDLEN-1 downto 0);
87
      IP_i : in std_logic_vector(SRC_CNT-1 downto 0);
88
 
89
      REG_Q_o : out std_logic_vector(SDLEN-1 downto 0);
90
      EIP_o : out std_logic;
91
      IS_o : out std_logic_vector(SRC_CNT-1 downto 0)
92
    );
93
  end component ;
94
 
95
  signal ISX : std_logic_vector(SRC_CNT-1 downto 0);
96
  signal IP : std_logic_vector(SRC_CNT-1 downto 0);
97
 
98
begin
99
 
100
  U_CORE : RV01_PLIC_CORE
101
    generic map(
102
      SRC_CNT => SRC_CNT
103
    )
104
    port map(
105
      CLK_i => CLK_i,
106
      RST_i => RST_i,
107
      REG_A_i => REG_A_i,
108
      REG_WE_i => REG_WE_i,
109
      REG_D_i => REG_D_i,
110
      IP_i => IP,
111
 
112
      REG_Q_o => REG_Q_o,
113
      EIP_o => EIP_o,
114
      IS_o => ISX
115
    );
116
 
117
  G0 : for k in 0 to SRC_CNT-1 generate
118
 
119
  U_GWAY : RV01_PLIC_GWAY
120
    generic map(
121
      TRIG_TYPE => TRIG_TYPE,
122
      REQ_MAXCNT => REQ_MAXCNT
123
    )
124
    port map(
125
      CLK_i => CLK_i,
126
      RST_i => RST_i,
127
      REQ_i => REQ_i(k),
128
      IS_i => ISX(k),
129
 
130
      IP_o => IP(k)
131
    );
132
 
133
  end generate;
134
 
135
end ARC;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.