OpenCores
URL https://opencores.org/ocsvn/rv01_riscv_core/rv01_riscv_core/trunk

Subversion Repositories rv01_riscv_core

[/] [rv01_riscv_core/] [trunk/] [VHDL/] [RV01_resmux_ix3.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 madsilicon
-----------------------------------------------------------------
2
--                                                             --
3
-----------------------------------------------------------------
4
--                                                             --
5
-- Copyright (C) 2016 Stefano Tonello                          --
6
--                                                             --
7
-- This source file may be used and distributed without        --
8
-- restriction provided that this copyright statement is not   --
9
-- removed from the file and that any derivative work contains --
10
-- the original copyright notice and the associated disclaimer.--
11
--                                                             --
12
-- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY         --
13
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   --
14
-- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   --
15
-- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      --
16
-- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         --
17
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    --
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   --
19
-- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        --
20
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  --
21
-- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  --
22
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  --
23
-- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         --
24
-- POSSIBILITY OF SUCH DAMAGE.                                 --
25
--                                                             --
26
-----------------------------------------------------------------
27
 
28
---------------------------------------------------------------
29
-- RV01 result mux (IX3 stage)
30
---------------------------------------------------------------
31
 
32
library IEEE;
33
use IEEE.std_logic_1164.all;
34
use IEEE.numeric_std.all;
35
 
36
library work;
37
use work.RV01_CONSTS_PKG.all;
38
use work.RV01_TYPES_PKG.all;
39
use work.RV01_IDEC_PKG.all;
40
 
41
entity RV01_RESMUX_IX3 is
42
  generic(
43
    PXE : std_logic := '1';
44
    DXE : std_logic := '1';
45
    NW : natural := 2
46
  );
47
  port(
48
    DRD0_i : in SDWORD_T;
49
    DRD1_i : in SDWORD_T;
50
    PA0_ALU_RES_i : in SDWORD_T;
51
    PA1_ALU_RES_i : in SDWORD_T;
52
    LDAT0_i : in SDWORD_T;
53
    LDAT1_i : in SDWORD_T;
54
    LDAT_V_i : in std_logic_vector(NW-1 downto 0);
55
    PASEL0_i : in std_logic_vector(4-1 downto 0);
56
    PASEL1_i : in std_logic_vector(4-1 downto 0);
57
    FWDE_i : in std_logic_vector(NW-1 downto 0);
58
    RES_SRC0_i : in RES_SRC_T;
59
    CSRU_RES_i : in SDWORD_T;
60
 
61
    DRD0_o : out SDWORD_T;
62
    DRD1_o : out SDWORD_T
63
  );
64
end RV01_RESMUX_IX3;
65
 
66
architecture ARC of RV01_RESMUX_IX3 is
67
 
68
begin
69
 
70
  -------------------------------------------
71
  -- Delayed execution disabled
72
  -------------------------------------------
73
 
74
  GDX_0 : if(DXE = '0') generate
75
 
76
  -- Pipe #0 IX3 result can be provided by IX2 result, LSU
77
  -- (only for lb* and lh* instructions) or CSRU.
78
 
79
  DRD0_o <=
80
    LDAT0_i when (LDAT_V_i(0) = '1')
81
    else CSRU_RES_i when (RES_SRC0_i = RS_SIU)
82
    else DRD0_i;
83
 
84
  -- Pipe #1 IX3 result can be provided by IX2 result or LSU
85
  -- (only for lb* and lh* instructions).
86
 
87
  GPX_0_1 : if(PXE = '1') generate
88
 
89
  DRD1_o <=
90
    LDAT1_i when (LDAT_V_i(1) = '1')
91
    else DRD1_i;
92
 
93
  end generate; -- GPX_0_1
94
 
95
  GPX_0_0 : if(PXE = '0') generate
96
 
97
  DRD1_o <= (others => '0');
98
 
99
  end generate; -- GPX_0_0
100
 
101
  end generate;
102
 
103
  -------------------------------------------
104
  -- Delayed execution enabled
105
  -------------------------------------------
106
 
107
  GDX_1 : if(DXE = '1') generate
108
 
109
  DRD0_o <=
110
    LDAT0_i when (LDAT_V_i(0) = '1')
111
    else PA0_ALU_RES_i when (FWDE_i(0) = '1' and (PASEL0_i(0) = '1' or PASEL0_i(2) = '1'))
112
    else CSRU_RES_i when (RES_SRC0_i = RS_SIU)
113
    else DRD0_i;
114
 
115
  -- Pipe #1 IX3 result can be provided by IX2 result or LSU
116
  -- (only for lb* and lh* instructions).
117
 
118
  GPX_1_1 : if(PXE = '1') generate
119
 
120
  DRD1_o <=
121
    LDAT1_i when (LDAT_V_i(1) = '1')
122
    else PA1_ALU_RES_i when (FWDE_i(1) = '1' and (PASEL1_i(0) = '1' or PASEL1_i(2) = '1'))
123
    else DRD1_i;
124
 
125
  end generate; -- GPX_1_1
126
 
127
  GPX_1_0 : if(PXE = '0') generate
128
 
129
  DRD1_o <= (others => '0');
130
 
131
  end generate; -- GPX_1_0
132
 
133
  end generate;
134
 
135
end ARC;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.