OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [hdl/] [behav/] [testbench/] [testbench.v] - Blame information for rev 113

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 fafa1971
/*
2
 * Simply RISC S1 Testbench
3
 *
4
 * (C) 2007 Simply RISC LLP
5
 * AUTHOR: Fabrizio Fazzino <fabrizio.fazzino@srisc.com>
6
 *
7
 * LICENSE:
8
 * This is a Free Hardware Design; you can redistribute it and/or
9
 * modify it under the terms of the GNU General Public License
10
 * version 2 as published by the Free Software Foundation.
11
 * The above named program is distributed in the hope that it will
12
 * be useful, but WITHOUT ANY WARRANTY; without even the implied
13
 * warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
14
 * See the GNU General Public License for more details.
15
 *
16
 * DESCRIPTION:
17
 * This is the testbench for the functional verification of the
18
 * S1 Core: it makes and instance of the S1 module to make it
19
 * possible to access one or more memory harnesses.
20
 */
21
 
22
`include "s1_defs.h"
23
 
24
module testbench ();
25
 
26
  /*
27
   * Wires
28
   */
29
 
30
  // Interrupt Requests
31
  wire[63:0] sys_irq;
32
 
33
  // Wishbone Master inputs / Wishbone Slave ouputs
34
  wire wb_ack;                                 // Ack
35
  wire[(`WB_DATA_WIDTH-1):0] wb_datain;        // Data In
36
 
37
  // Wishbone Master outputs / Wishbone Slave inputs
38
  wire wb_cycle;                               // Cycle Start
39
  wire wb_strobe;                              // Strobe Request
40 113 albert.wat
  wire wb_we;                                  // Write Enable
41 4 fafa1971
  wire[`WB_ADDR_WIDTH-1:0] wb_addr;            // Address Bus
42
  wire[`WB_DATA_WIDTH-1:0] wb_dataout;         // Data Out
43
  wire[`WB_DATA_WIDTH/8-1:0] wb_sel;           // Select Output
44
 
45 103 fafa1971
  // Separate Cycle, Strobe and Ack wires for ROM and RAM memory harnesses
46
  wire wb_cycle_ram;
47
  wire wb_cycle_rom;
48
  wire wb_strobe_ram;
49
  wire wb_strobe_rom;
50
  wire wb_ack_ram;
51
  wire wb_ack_rom;
52 4 fafa1971
 
53 103 fafa1971
  // Decode the address and select the proper memory bank
54 4 fafa1971
 
55 103 fafa1971
  assign wb_cycle_rom  = ( (wb_addr[39:12]==28'hFFF0000) ? wb_cycle : 0 );
56
  assign wb_strobe_rom = ( (wb_addr[39:12]==28'hFFF0000) ? wb_strobe : 0 );
57 4 fafa1971
 
58 103 fafa1971
  assign wb_cycle_ram  = ( (wb_addr[39:16]==24'h000004) ? wb_cycle : 0 );
59
  assign wb_strobe_ram = ( (wb_addr[39:16]==24'h000004) ? wb_strobe : 0 );
60
 
61
  assign wb_ack = wb_ack_ram | wb_ack_rom;
62
 
63 4 fafa1971
  /*
64
   * Registers
65
   */
66
 
67
  // System signals
68
  reg sys_clock;
69
  reg sys_reset;
70
 
71
  /*
72
   * Behavior
73
   */
74
 
75
  always #1 sys_clock = ~sys_clock;
76
  assign sys_irq = 64'b0;
77
 
78
  initial begin
79
 
80
    // Display start message
81
    $display("INFO: TBENCH: Starting Simply RISC S1 Core simulation...");
82
 
83
    // Create VCD trace file
84
    $dumpfile("trace.vcd");
85
    $dumpvars();
86
 
87
    // Run the simulation
88
    sys_clock <= 1'b1;
89
    sys_reset <= 1'b1;
90 103 fafa1971
    #1000
91 4 fafa1971
    sys_reset <= 1'b0;
92 103 fafa1971
    #49000
93 4 fafa1971
    $display("INFO: TBENCH: Completed Simply RISC S1 Core simulation!");
94
    $finish;
95
 
96
  end
97
 
98
  /*
99 103 fafa1971
   * Module instances
100 4 fafa1971
   */
101
 
102 103 fafa1971
  // Simply RISC S1 Core
103 4 fafa1971
  s1_top s1_top_0 (
104
 
105
    // System inputs
106
    .sys_clock_i(sys_clock),
107
    .sys_reset_i(sys_reset),
108
    .sys_irq_i(sys_irq),
109
 
110
    // Wishbone Master inputs
111
    .wbm_ack_i(wb_ack),
112
    .wbm_data_i(wb_datain),
113
 
114
    // Wishbone Master outputs
115
    .wbm_cycle_o(wb_cycle),
116
    .wbm_strobe_o(wb_strobe),
117
    .wbm_we_o(wb_we),
118
    .wbm_addr_o(wb_addr),
119
    .wbm_data_o(wb_dataout),
120
    .wbm_sel_o(wb_sel)
121
 
122
  );
123 103 fafa1971
 
124
  // Wishbone memory harness used as ROM
125
  mem_harness rom_harness (
126 4 fafa1971
 
127
    // System inputs
128
    .sys_clock_i(sys_clock),
129
    .sys_reset_i(sys_reset),
130
 
131
    // Wishbone Slave inputs
132
    .wbs_addr_i(wb_addr),
133
    .wbs_data_i(wb_dataout),
134 103 fafa1971
    .wbs_cycle_i(wb_cycle_rom),
135
    .wbs_strobe_i(wb_strobe_rom),
136 4 fafa1971
    .wbs_sel_i(wb_sel),
137
    .wbs_we_i(wb_we),
138
 
139
    // Wishbone Slave outputs
140
    .wbs_data_o(wb_datain),
141 103 fafa1971
    .wbs_ack_o(wb_ack_rom)
142 4 fafa1971
 
143
  );
144
 
145 103 fafa1971
  // Wishbone memory harness used as RAM
146
  mem_harness ram_harness (
147 4 fafa1971
 
148
    // System inputs
149
    .sys_clock_i(sys_clock),
150
    .sys_reset_i(sys_reset),
151
 
152
    // Wishbone Slave inputs
153
    .wbs_addr_i(wb_addr),
154
    .wbs_data_i(wb_dataout),
155 103 fafa1971
    .wbs_cycle_i(wb_cycle_ram),
156
    .wbs_strobe_i(wb_strobe_ram),
157 4 fafa1971
    .wbs_sel_i(wb_sel),
158
    .wbs_we_i(wb_we),
159
 
160
    // Wishbone Slave outputs
161
    .wbs_data_o(wb_datain),
162 103 fafa1971
    .wbs_ack_o(wb_ack_ram)
163 4 fafa1971
 
164
  );
165
 
166 103 fafa1971
  /*
167
   * Parameters for memory harnesses
168
   */
169 4 fafa1971
 
170 103 fafa1971
  // ROM has Physical Address range [0xFFF0000000:0xFFF0000FFF]
171
  // so size is 4 KByte and requires 12 address bits
172
  // 3 of which are ignored being a 64-bit memory => addr_bits=9
173
  // (it was section RED_SEC in the official OpenSPARC-T1 testbench)
174
  defparam rom_harness.addr_bits = 9;
175
  defparam rom_harness.memfilename = "rom_harness.hex";
176
  defparam rom_harness.memdefaultcontent = 64'h0100000001000000;
177 4 fafa1971
 
178 103 fafa1971
  // RAM has Physical Address range [0x0000040000:0x000004FFFF]
179
  // so size is 64 KByte and requires 16 address bits
180
  // 3 of which are ignored being a 64-bit memory => addr_bits=13
181
  // (it was section RED_EXT_SEC in the official OpenSPARC-T1 testbench)
182
  defparam ram_harness.addr_bits = 13;
183
  defparam ram_harness.memfilename = "ram_harness.hex";
184
  defparam ram_harness.memdefaultcontent = 64'h0100000001000000;
185
 
186 4 fafa1971
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.