OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [hdl/] [filelist.fpga] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 fafa1971
~/s1_core/hdl/behav/sparc_libs/u1_lib.v
2
~/s1_core/hdl/behav/sparc_libs/m1_lib.v
3
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par32.v
4
~/s1_core/hdl/rtl/sparc_core/lsu_stb_ctldp.v
5
~/s1_core/hdl/rtl/sparc_core/test_stub_bist.v
6
~/s1_core/hdl/rtl/sparc_core/lsu_qctl2.v
7
~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecc.v
8
~/s1_core/hdl/rtl/sparc_core/lsu_stb_rwctl.v
9
~/s1_core/hdl/rtl/sparc_core/spu_mamul.v
10
~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecc_dec.v
11
~/s1_core/hdl/rtl/sparc_core/spu_mast.v
12
~/s1_core/hdl/rtl/sparc_core/tlu_addern_32.v
13
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_thrcmpl.v
14
~/s1_core/hdl/rtl/sparc_core/spu_ctl.v
15
~/s1_core/hdl/rtl/sparc_core/tlu_rrobin_picker.v
16
~/s1_core/hdl/rtl/sparc_core/sparc_exu.v
17
~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_divcntl.v
18
~/s1_core/hdl/rtl/sparc_core/sparc_mul_cntl.v
19
~/s1_core/hdl/rtl/sparc_core/lsu_dc_parity_gen.v
20
~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml_inc3.v
21
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_lfsr5.v
22 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/bw_r_frf.v
23 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_invctl.v
24
~/s1_core/hdl/rtl/sparc_core/lsu_dcdp.v
25
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_mbist.v
26
~/s1_core/hdl/rtl/sparc_core/bw_r_rf32x80.v
27
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_dcl.v
28
~/s1_core/hdl/rtl/sparc_core/tlu_pib.v
29
~/s1_core/hdl/rtl/sparc_core/swrvr_dlib.v
30 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/bw_r_irf.v
31 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par16.v
32 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/bw_r_rf16x32.v
33 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/cpx_spc_rpt.v
34 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/swrvr_clib.v
35 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/lsu_dctldp.v
36
~/s1_core/hdl/rtl/sparc_core/bw_r_idct.v
37
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par34.v
38
~/s1_core/hdl/rtl/sparc_core/lsu_stb_rwdp.v
39 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/synchronizer_asr.v
40 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/spu_madp.v
41
~/s1_core/hdl/rtl/sparc_core/sparc_ffu_vis.v
42
~/s1_core/hdl/rtl/sparc_core/sparc_tlu_intdp.v
43
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ctr5.v
44
~/s1_core/hdl/rtl/sparc_core/lsu_dcache_lfsr.v
45
~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_eccctl.v
46
~/s1_core/hdl/rtl/sparc_core/tlu_tdp.v
47
~/s1_core/hdl/rtl/sparc_core/lsu_stb_ctl.v
48
~/s1_core/hdl/rtl/sparc_core/sparc_mul_dp.v
49
~/s1_core/hdl/rtl/sparc_core/lsu_asi_decode.v
50
~/s1_core/hdl/rtl/sparc_core/sparc_exu_byp_eccgen.v
51
~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml_cwp.v
52
~/s1_core/hdl/rtl/sparc_core/sparc_ffu.v
53
~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclbyplog_rs1.v
54
~/s1_core/hdl/rtl/sparc_core/bw_r_irf_register.v
55
~/s1_core/hdl/rtl/sparc_core/tlu_mmu_ctl.v
56
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_sscan.v
57
~/s1_core/hdl/rtl/sparc_core/lsu_pcx_qmon.v
58
~/s1_core/hdl/rtl/sparc_core/sparc_exu_byp.v
59
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_errdp.v
60
~/s1_core/hdl/rtl/sparc_core/spu_maaeqb.v
61
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_errctl.v
62
~/s1_core/hdl/rtl/sparc_core/lsu_dctl.v
63
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_cmp35.v
64
~/s1_core/hdl/rtl/sparc_core/lsu_qdp1.v
65
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_rndrob.v
66
~/s1_core/hdl/rtl/sparc_core/spu_mared.v
67
~/s1_core/hdl/rtl/sparc_core/sparc_tlu_intctl.v
68
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_fdp.v
69
~/s1_core/hdl/rtl/sparc_core/tlu_mmu_dp.v
70
~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclbyplog.v
71
~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml.v
72
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_fcl.v
73
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_dec.v
74
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_lru4.v
75 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_exu_alu.v
76 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/bw_r_icd.v
77
~/s1_core/hdl/rtl/sparc_core/cluster_header.v
78 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_exu_div_yreg.v
79 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/tlu.v
80 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclccr.v
81 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/tlu_incr64.v
82
~/s1_core/hdl/rtl/sparc_core/sparc_tlu_zcmp64.v
83
~/s1_core/hdl/rtl/sparc_core/lsu_qdp2.v
84
~/s1_core/hdl/rtl/sparc_core/bw_r_dcd.v
85
~/s1_core/hdl/rtl/sparc_core/sparc_ifu.v
86 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_exu_reg.v
87 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_milfsm.v
88
~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_mdqctl.v
89
~/s1_core/hdl/rtl/sparc_core/test_stub_scan.v
90 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_exu_shft.v
91 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/tlu_tcl.v
92
~/s1_core/hdl/rtl/sparc_core/spu_mactl.v
93
~/s1_core/hdl/rtl/sparc_core/bw_r_rf32x152b.v
94
~/s1_core/hdl/rtl/sparc_core/spu_wen.v
95
~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluor32.v
96 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_ffu_ctl.v
97 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_ffu_dp.v
98
~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluspr.v
99
~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl.v
100
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_imd.v
101
~/s1_core/hdl/rtl/sparc_core/bw_clk_cl_sparc_cmp.v
102
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_swpla.v
103 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_ffu_ctl_visctl.v
104 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/lsu_tlbdp.v
105
~/s1_core/hdl/rtl/sparc_core/lsu_rrobin_picker2.v
106
~/s1_core/hdl/rtl/sparc_core/sparc_exu_div.v
107
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_incr46.v
108
~/s1_core/hdl/rtl/sparc_core/spu_mald.v
109
~/s1_core/hdl/rtl/sparc_core/bw_r_scm.v
110
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_wseldp.v
111
~/s1_core/hdl/rtl/sparc_core/cpx_spc_buf.v
112 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ifqctl.v
113 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/spu.v
114
~/s1_core/hdl/rtl/sparc_core/sparc_exu_rndrob.v
115 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ifqdp.v
116 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/tlu_misctl.v
117
~/s1_core/hdl/rtl/sparc_core/sparc_ffu_part_add32.v
118
~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_cnt6.v
119
~/s1_core/hdl/rtl/sparc_core/lsu_tagdp.v
120 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_thrfsm.v
121 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluzcmp64.v
122
~/s1_core/hdl/rtl/sparc_core/sparc_exu_div_32eql.v
123
~/s1_core/hdl/rtl/sparc_core/tlu_hyperv.v
124
~/s1_core/hdl/rtl/sparc_core/spu_maaddr.v
125
~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluadder64.v
126
~/s1_core/hdl/rtl/sparc_core/spu_lsurpt.v
127
~/s1_core/hdl/rtl/sparc_core/cmp_sram_redhdr.v
128 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/lsu.v
129 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/spu_maexp.v
130
~/s1_core/hdl/rtl/sparc_core/sparc_ifu_swl.v
131 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_mul_top.v
132 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_exu_alu_16eql.v
133 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc.v
134 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/lsu_qctl1.v
135
~/s1_core/hdl/rtl/sparc_core/lsu_excpctl.v
136
~/s1_core/hdl/rtl/sparc_core/bw_r_rf16x160.v
137 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/spu_lsurpt1.v
138 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/bw_r_tlb.v
139 48 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_tlu_penc64.v
140
~/s1_core/hdl/rtl/sparc_core/tlu_prencoder16.v
141 54 fafa1971
~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclcomp7.v
142
~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluaddsub.v
143
~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_wb.v
144
~/s1_core/hdl/rtl/sparc_core/sparc_exu_alulogic.v
145
~/s1_core/hdl/rtl/sparc_core/sparc_tlu_dec64.v
146
~/s1_core/hdl/rtl/sparc_core/mul64.v
147 48 fafa1971
~/s1_core/hdl/rtl/s1_top/rst_ctrl.v
148
~/s1_core/hdl/rtl/s1_top/int_ctrl.v
149
~/s1_core/hdl/rtl/s1_top/spc2wbm.v
150
~/s1_core/hdl/rtl/s1_top/s1_top.v
151
+incdir+~/s1_core/hdl/rtl/s1_top
152 3 fafa1971
+define+FPGA_SYN
153 25 fafa1971
+define+FPGA_SYN_1THREAD
154
+define+FPGA_SYN_NO_SPU

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.