OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [hdl/] [filelist.vcs] - Blame information for rev 25

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 fafa1971
-v ~/s1_core/hdl/behav/sparc_libs/m1_lib.v
2
-v ~/s1_core/hdl/behav/sparc_libs/u1_lib.v
3
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_cm16x40b.v
4
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_cm16x40.v
5
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_dcd.v
6
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_dcm.v
7
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_efa.v
8
-v ~/s1_core/hdl/rtl/sparc_core/bw_rf_16x65.v
9
-v ~/s1_core/hdl/rtl/sparc_core/bw_rf_16x81.v
10
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_frf.v
11
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_icd.v
12
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_idct.v
13
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_irf_register.v
14
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_irf.v
15
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_l2d_32k.v
16
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_l2d_rep_bot.v
17
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_l2d_rep_top.v
18
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_l2d.v
19
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf16x128d.v
20
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf16x160.v
21
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf16x32.v
22
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf32x108.v
23
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf32x152b.v
24
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf32x80.v
25
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_scm.v
26
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_tlb.v
27
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cclk_hdr_48x.v
28
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cclk_hdr_64x.v
29
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cclk_inv_128x.v
30
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cclk_inv_48x.v
31
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cclk_inv_64x.v
32
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cclk_inv_96x.v
33
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cclk_scanlasr_2x.v
34
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cclk_sync.v
35
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gclk_center_3inv.v
36
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gclk_inv_192x.v
37
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gclk_inv_224x.v
38
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gclk_inv_288x.v
39
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gclk_inv_r90_192x.v
40
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gclk_inv_r90_224x.v
41
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gclk_inv_r90_256x.v
42
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gclk_sctag_3inv.v
43
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gl_fdbk.v
44
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gl_hz.v
45
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gl_rstce_rtl.v
46
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gl.v
47
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_gl_vrt_all.v
48
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xa0.v
49
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xa1.v
50
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xb0.v
51
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xb1.v
52
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xb2.v
53
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xb3.v
54
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xc0.v
55
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xc1.v
56
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xc2.v
57
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xc3.v
58
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xc4.v
59
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xc5.v
60
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xc6.v
61
-v ~/s1_core/hdl/rtl/sparc_core/flop_rptrs_xc7.v
62
-v ~/s1_core/hdl/rtl/sparc_core/bw_rng.v
63
-v ~/s1_core/hdl/rtl/sparc_core/cluster_header.v
64
-v ~/s1_core/hdl/rtl/sparc_core/cluster_header_ctu.v
65
-v ~/s1_core/hdl/rtl/sparc_core/cluster_header_dup.v
66
-v ~/s1_core/hdl/rtl/sparc_core/cluster_header_sync.v
67
-v ~/s1_core/hdl/rtl/sparc_core/cmp_sram_redhdr.v
68
-v ~/s1_core/hdl/rtl/sparc_core/dbl_buf.v
69
-v ~/s1_core/hdl/rtl/sparc_core/swrvr_clib.v
70
-v ~/s1_core/hdl/rtl/sparc_core/swrvr_dlib.v
71
-v ~/s1_core/hdl/rtl/sparc_core/sync_pulse_synchronizer.v
72
-v ~/s1_core/hdl/rtl/sparc_core/synchronizer_asr.v
73
-v ~/s1_core/hdl/rtl/sparc_core/test_stub_bist.v
74
-v ~/s1_core/hdl/rtl/sparc_core/synchronizer_asr_dup.v
75
-v ~/s1_core/hdl/rtl/sparc_core/test_stub_scan.v
76
-v ~/s1_core/hdl/rtl/sparc_core/ucb_bus_in.v
77
-v ~/s1_core/hdl/rtl/sparc_core/ucb_bus_out.v
78
-v ~/s1_core/hdl/rtl/sparc_core/ucb_flow_2buf.v
79
-v ~/s1_core/hdl/rtl/sparc_core/ucb_flow_jbi.v
80
-v ~/s1_core/hdl/rtl/sparc_core/ucb_flow_spi.v
81
-v ~/s1_core/hdl/rtl/sparc_core/ucb_noflow.v
82
-v ~/s1_core/hdl/rtl/sparc_core/mul64.v
83
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu.v
84
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_alu.v
85
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_alu_16eql.v
86
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluadder64.v
87
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluaddsub.v
88
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_alulogic.v
89
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluor32.v
90
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluspr.v
91
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluzcmp64.v
92
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_byp.v
93
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_byp_eccgen.v
94
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_div.v
95
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_div_32eql.v
96
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_div_yreg.v
97
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecc.v
98
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecc_dec.v
99
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl.v
100
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_cnt6.v
101
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_divcntl.v
102
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_eccctl.v
103
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_mdqctl.v
104
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_wb.v
105
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclbyplog.v
106
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclbyplog_rs1.v
107
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclccr.v
108
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclcomp7.v
109
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_reg.v
110
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml.v
111
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml_cwp.v
112
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml_inc3.v
113
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rndrob.v
114
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_shft.v
115
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu.v
116
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_ctl.v
117
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_ctl_visctl.v
118
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_dp.v
119
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_part_add32.v
120
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_vis.v
121
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu.v
122
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_cmp35.v
123
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ctr5.v
124
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_dcl.v
125
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_dec.v
126
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_errctl.v
127
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_errdp.v
128
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_fcl.v
129
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_fdp.v
130
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ifqctl.v
131
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ifqdp.v
132
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_imd.v
133
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_incr46.v
134
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_invctl.v
135
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_lfsr5.v
136
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_lru4.v
137
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_mbist.v
138
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_milfsm.v
139
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par16.v
140
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par32.v
141
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par34.v
142
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_rndrob.v
143
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_sscan.v
144
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_swl.v
145
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_swpla.v
146
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_thrcmpl.v
147
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_thrfsm.v
148
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_wseldp.v
149
-v ~/s1_core/hdl/rtl/sparc_core/lsu.v
150
-v ~/s1_core/hdl/rtl/sparc_core/lsu_asi_decode.v
151
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dc_parity_gen.v
152
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dcache_lfsr.v
153
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dcdp.v
154
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dctl.v
155
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dctldp.v
156
-v ~/s1_core/hdl/rtl/sparc_core/lsu_excpctl.v
157
-v ~/s1_core/hdl/rtl/sparc_core/lsu_pcx_qmon.v
158
-v ~/s1_core/hdl/rtl/sparc_core/lsu_qctl1.v
159
-v ~/s1_core/hdl/rtl/sparc_core/lsu_qctl2.v
160
-v ~/s1_core/hdl/rtl/sparc_core/lsu_qdp1.v
161
-v ~/s1_core/hdl/rtl/sparc_core/lsu_qdp2.v
162
-v ~/s1_core/hdl/rtl/sparc_core/lsu_rrobin_picker2.v
163
-v ~/s1_core/hdl/rtl/sparc_core/lsu_stb_ctl.v
164
-v ~/s1_core/hdl/rtl/sparc_core/lsu_stb_ctldp.v
165
-v ~/s1_core/hdl/rtl/sparc_core/lsu_stb_rwctl.v
166
-v ~/s1_core/hdl/rtl/sparc_core/lsu_stb_rwdp.v
167
-v ~/s1_core/hdl/rtl/sparc_core/lsu_tagdp.v
168
-v ~/s1_core/hdl/rtl/sparc_core/lsu_tlbdp.v
169
-v ~/s1_core/hdl/rtl/sparc_core/sparc_mul_cntl.v
170
-v ~/s1_core/hdl/rtl/sparc_core/sparc_mul_dp.v
171
-v ~/s1_core/hdl/rtl/sparc_core/sparc_mul_top.v
172
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cl_sparc_cmp.v
173
-v ~/s1_core/hdl/rtl/sparc_core/cpx_spc_buf.v
174
-v ~/s1_core/hdl/rtl/sparc_core/cpx_spc_rpt.v
175
-v ~/s1_core/hdl/rtl/sparc_core/sparc.v
176
-v ~/s1_core/hdl/rtl/sparc_core/spc_pcx_buf.v
177
-v ~/s1_core/hdl/rtl/sparc_core/spu.v
178
-v ~/s1_core/hdl/rtl/sparc_core/spu_ctl.v
179
-v ~/s1_core/hdl/rtl/sparc_core/spu_lsurpt.v
180
-v ~/s1_core/hdl/rtl/sparc_core/spu_lsurpt1.v
181
-v ~/s1_core/hdl/rtl/sparc_core/spu_maaddr.v
182
-v ~/s1_core/hdl/rtl/sparc_core/spu_maaeqb.v
183
-v ~/s1_core/hdl/rtl/sparc_core/spu_mactl.v
184
-v ~/s1_core/hdl/rtl/sparc_core/spu_madp.v
185
-v ~/s1_core/hdl/rtl/sparc_core/spu_maexp.v
186
-v ~/s1_core/hdl/rtl/sparc_core/spu_mald.v
187
-v ~/s1_core/hdl/rtl/sparc_core/spu_mamul.v
188
-v ~/s1_core/hdl/rtl/sparc_core/spu_mared.v
189
-v ~/s1_core/hdl/rtl/sparc_core/spu_mast.v
190
-v ~/s1_core/hdl/rtl/sparc_core/spu_wen.v
191
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_dec64.v
192
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_intctl.v
193
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_intdp.v
194
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_penc64.v
195
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_zcmp64.v
196
-v ~/s1_core/hdl/rtl/sparc_core/tlu.v
197
-v ~/s1_core/hdl/rtl/sparc_core/tlu_addern_32.v
198
-v ~/s1_core/hdl/rtl/sparc_core/tlu_hyperv.v
199
-v ~/s1_core/hdl/rtl/sparc_core/tlu_incr64.v
200
-v ~/s1_core/hdl/rtl/sparc_core/tlu_misctl.v
201
-v ~/s1_core/hdl/rtl/sparc_core/tlu_mmu_ctl.v
202
-v ~/s1_core/hdl/rtl/sparc_core/tlu_mmu_dp.v
203
-v ~/s1_core/hdl/rtl/sparc_core/tlu_pib.v
204
-v ~/s1_core/hdl/rtl/sparc_core/tlu_prencoder16.v
205
-v ~/s1_core/hdl/rtl/sparc_core/tlu_rrobin_picker.v
206
-v ~/s1_core/hdl/rtl/sparc_core/tlu_tcl.v
207
-v ~/s1_core/hdl/rtl/sparc_core/tlu_tdp.v
208
~/s1_core/hdl/rtl/s1_top/rst_ctrl.v
209
~/s1_core/hdl/rtl/s1_top/int_ctrl.v
210
~/s1_core/hdl/rtl/s1_top/spc2wbm.v
211
~/s1_core/hdl/rtl/s1_top/s1_top.v
212
~/s1_core/hdl/behav/testbench/mem_harness.v
213
~/s1_core/hdl/behav/testbench/testbench.v
214
+incdir+~/s1_core/hdl/rtl/sparc_core/include
215
+incdir+~/s1_core/hdl/rtl/s1_top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.