OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [hdl/] [filelist.vcs] - Blame information for rev 91

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 fafa1971
-v ~/s1_core/hdl/behav/sparc_libs/u1_lib.v
2 25 fafa1971
-v ~/s1_core/hdl/behav/sparc_libs/m1_lib.v
3 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_dcl.v
4
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_frf.v
5
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dctldp.v
6
-v ~/s1_core/hdl/rtl/sparc_core/spu_mared.v
7
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_thrfsm.v
8
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_mdqctl.v
9
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclbyplog_rs1.v
10
-v ~/s1_core/hdl/rtl/sparc_core/tlu_incr64.v
11
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_ctl_visctl.v
12
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_div.v
13
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluadder64.v
14
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_irf_register.v
15
-v ~/s1_core/hdl/rtl/sparc_core/sparc_mul_top.v
16
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_lru4.v
17
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecc.v
18
-v ~/s1_core/hdl/rtl/sparc_core/lsu_stb_rwdp.v
19
-v ~/s1_core/hdl/rtl/sparc_core/sparc_mul_dp.v
20
-v ~/s1_core/hdl/rtl/sparc_core/spu_madp.v
21
-v ~/s1_core/hdl/rtl/sparc_core/lsu_stb_ctl.v
22
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_swpla.v
23 54 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par32.v
24 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_cnt6.v
25
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluor32.v
26
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_irf.v
27
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dcache_lfsr.v
28
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_scm.v
29
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_alulogic.v
30
-v ~/s1_core/hdl/rtl/sparc_core/lsu_excpctl.v
31
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf16x160.v
32
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_imd.v
33
-v ~/s1_core/hdl/rtl/sparc_core/lsu_rrobin_picker2.v
34
-v ~/s1_core/hdl/rtl/sparc_core/spu_maexp.v
35
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_eccctl.v
36
-v ~/s1_core/hdl/rtl/sparc_core/bw_clk_cl_sparc_cmp.v
37
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_reg.v
38
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_dec64.v
39
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_errdp.v
40
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_div_32eql.v
41
-v ~/s1_core/hdl/rtl/sparc_core/spu_lsurpt.v
42
-v ~/s1_core/hdl/rtl/sparc_core/tlu_pib.v
43
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dctl.v
44
-v ~/s1_core/hdl/rtl/sparc_core/spu_mald.v
45
-v ~/s1_core/hdl/rtl/sparc_core/spu_maaddr.v
46
-v ~/s1_core/hdl/rtl/sparc_core/spu_maaeqb.v
47
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_idct.v
48
-v ~/s1_core/hdl/rtl/sparc_core/spu_lsurpt1.v
49
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_alu_16eql.v
50
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_wseldp.v
51
-v ~/s1_core/hdl/rtl/sparc_core/lsu_qctl2.v
52 54 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/lsu_stb_ctldp.v
53
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf32x80.v
54
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par16.v
55 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclbyplog.v
56
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_dp.v
57
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluaddsub.v
58
-v ~/s1_core/hdl/rtl/sparc_core/tlu_hyperv.v
59
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_milfsm.v
60
-v ~/s1_core/hdl/rtl/sparc_core/spu_wen.v
61
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_penc64.v
62
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_divcntl.v
63
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf32x152b.v
64 25 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_rf16x32.v
65 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_icd.v
66
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ctr5.v
67
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl.v
68
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluspr.v
69
-v ~/s1_core/hdl/rtl/sparc_core/spu.v
70 25 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/synchronizer_asr.v
71 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_mul_cntl.v
72
-v ~/s1_core/hdl/rtl/sparc_core/lsu_qdp2.v
73
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_lfsr5.v
74
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_alu.v
75
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_fcl.v
76
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_part_add32.v
77
-v ~/s1_core/hdl/rtl/sparc_core/spu_mast.v
78 54 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/lsu_asi_decode.v
79 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_fdp.v
80
-v ~/s1_core/hdl/rtl/sparc_core/spu_ctl.v
81
-v ~/s1_core/hdl/rtl/sparc_core/tlu_mmu_dp.v
82
-v ~/s1_core/hdl/rtl/sparc_core/lsu_tagdp.v
83
-v ~/s1_core/hdl/rtl/sparc_core/lsu_stb_rwctl.v
84
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rndrob.v
85
-v ~/s1_core/hdl/rtl/sparc_core/lsu_qctl1.v
86
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_errctl.v
87 54 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/tlu_mmu_ctl.v
88 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu.v
89
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_invctl.v
90 54 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/lsu_pcx_qmon.v
91 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_dec.v
92
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml.v
93
-v ~/s1_core/hdl/rtl/sparc_core/tlu_rrobin_picker.v
94
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecc_dec.v
95
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_mbist.v
96
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par34.v
97
-v ~/s1_core/hdl/rtl/sparc_core/cpx_spc_rpt.v
98 54 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_byp.v
99 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/test_stub_scan.v
100
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_zcmp64.v
101
-v ~/s1_core/hdl/rtl/sparc_core/spu_mamul.v
102
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_swl.v
103 54 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_rndrob.v
104 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclcomp7.v
105
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_intdp.v
106
-v ~/s1_core/hdl/rtl/sparc_core/tlu_misctl.v
107
-v ~/s1_core/hdl/rtl/sparc_core/swrvr_clib.v
108
-v ~/s1_core/hdl/rtl/sparc_core/lsu_tlbdp.v
109
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dc_parity_gen.v
110
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_ctl.v
111
-v ~/s1_core/hdl/rtl/sparc_core/tlu_addern_32.v
112
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu.v
113
-v ~/s1_core/hdl/rtl/sparc_core/tlu_tcl.v
114 25 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_div_yreg.v
115
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclccr.v
116 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_intctl.v
117
-v ~/s1_core/hdl/rtl/sparc_core/tlu_tdp.v
118
-v ~/s1_core/hdl/rtl/sparc_core/lsu.v
119
-v ~/s1_core/hdl/rtl/sparc_core/test_stub_bist.v
120
-v ~/s1_core/hdl/rtl/sparc_core/lsu_qdp1.v
121
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml_cwp.v
122
-v ~/s1_core/hdl/rtl/sparc_core/swrvr_dlib.v
123
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluzcmp64.v
124 25 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_shft.v
125 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_vis.v
126
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_sscan.v
127
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu.v
128
-v ~/s1_core/hdl/rtl/sparc_core/tlu.v
129
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_cmp35.v
130
-v ~/s1_core/hdl/rtl/sparc_core/cmp_sram_redhdr.v
131 54 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_incr46.v
132
-v ~/s1_core/hdl/rtl/sparc_core/cpx_spc_buf.v
133 25 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ifqctl.v
134 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/mul64.v
135
-v ~/s1_core/hdl/rtl/sparc_core/lsu_dcdp.v
136 25 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc.v
137 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_byp_eccgen.v
138
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml_inc3.v
139
-v ~/s1_core/hdl/rtl/sparc_core/cluster_header.v
140
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_dcd.v
141
-v ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_wb.v
142 54 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/bw_r_tlb.v
143 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_thrcmpl.v
144 25 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/tlu_prencoder16.v
145 91 fafa1971
-v ~/s1_core/hdl/rtl/sparc_core/spu_mactl.v
146
-v ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ifqdp.v
147 25 fafa1971
~/s1_core/hdl/rtl/s1_top/rst_ctrl.v
148
~/s1_core/hdl/rtl/s1_top/int_ctrl.v
149
~/s1_core/hdl/rtl/s1_top/spc2wbm.v
150
~/s1_core/hdl/rtl/s1_top/s1_top.v
151
~/s1_core/hdl/behav/testbench/mem_harness.v
152
~/s1_core/hdl/behav/testbench/testbench.v
153
+incdir+~/s1_core/hdl/rtl/s1_top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.