OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [hdl/] [filelist.xst] - Blame information for rev 54

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 fafa1971
verilog work ~/s1_core/hdl/behav/sparc_libs/u1_lib.v
2
verilog work ~/s1_core/hdl/behav/sparc_libs/m1_lib.v
3
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par32.v
4
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_stb_ctldp.v
5
verilog work ~/s1_core/hdl/rtl/sparc_core/test_stub_bist.v
6
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_qctl2.v
7
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecc.v
8
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_stb_rwctl.v
9
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_mamul.v
10
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecc_dec.v
11
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_mast.v
12
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_addern_32.v
13
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_thrcmpl.v
14
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_ctl.v
15
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_rrobin_picker.v
16
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu.v
17
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_divcntl.v
18
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_mul_cntl.v
19
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_dc_parity_gen.v
20
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml_inc3.v
21
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_lfsr5.v
22 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_frf.v
23 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_invctl.v
24
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_dcdp.v
25
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_mbist.v
26
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_rf32x80.v
27
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_dcl.v
28
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_pib.v
29
verilog work ~/s1_core/hdl/rtl/sparc_core/swrvr_dlib.v
30 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_irf.v
31 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par16.v
32 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_rf16x32.v
33 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/cpx_spc_rpt.v
34 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/swrvr_clib.v
35 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_dctldp.v
36
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_idct.v
37
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_par34.v
38
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_stb_rwdp.v
39 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/synchronizer_asr.v
40 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_madp.v
41
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_vis.v
42
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_intdp.v
43
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ctr5.v
44
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_dcache_lfsr.v
45
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_eccctl.v
46
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_tdp.v
47
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_stb_ctl.v
48
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_mul_dp.v
49
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_asi_decode.v
50
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_byp_eccgen.v
51
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml_cwp.v
52
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ffu.v
53
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclbyplog_rs1.v
54
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_irf_register.v
55
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_mmu_ctl.v
56
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_sscan.v
57
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_pcx_qmon.v
58
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_byp.v
59
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_errdp.v
60
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_maaeqb.v
61
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_errctl.v
62
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_dctl.v
63
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_cmp35.v
64
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_qdp1.v
65
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_rndrob.v
66
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_mared.v
67
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_intctl.v
68
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_fdp.v
69
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_mmu_dp.v
70
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclbyplog.v
71
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rml.v
72
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_fcl.v
73
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_dec.v
74
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_lru4.v
75 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_alu.v
76 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_icd.v
77
verilog work ~/s1_core/hdl/rtl/sparc_core/cluster_header.v
78 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_div_yreg.v
79 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu.v
80 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclccr.v
81 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_incr64.v
82
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_zcmp64.v
83
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_qdp2.v
84
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_dcd.v
85
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu.v
86 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_reg.v
87 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_milfsm.v
88
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_mdqctl.v
89
verilog work ~/s1_core/hdl/rtl/sparc_core/test_stub_scan.v
90 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_shft.v
91 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_tcl.v
92
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_mactl.v
93
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_rf32x152b.v
94
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_wen.v
95
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluor32.v
96 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_ctl.v
97 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_dp.v
98
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluspr.v
99
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl.v
100
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_imd.v
101
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_clk_cl_sparc_cmp.v
102
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_swpla.v
103 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_ctl_visctl.v
104 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_tlbdp.v
105
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_rrobin_picker2.v
106
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_div.v
107
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_incr46.v
108
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_mald.v
109
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_scm.v
110
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_wseldp.v
111
verilog work ~/s1_core/hdl/rtl/sparc_core/cpx_spc_buf.v
112 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ifqctl.v
113 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/spu.v
114
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_rndrob.v
115 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_ifqdp.v
116 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_misctl.v
117
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ffu_part_add32.v
118
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_cnt6.v
119
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_tagdp.v
120 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_thrfsm.v
121 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluzcmp64.v
122
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_div_32eql.v
123
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_hyperv.v
124
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_maaddr.v
125
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluadder64.v
126
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_lsurpt.v
127
verilog work ~/s1_core/hdl/rtl/sparc_core/cmp_sram_redhdr.v
128 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu.v
129 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_maexp.v
130
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_ifu_swl.v
131 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_mul_top.v
132 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_alu_16eql.v
133 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc.v
134 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_qctl1.v
135
verilog work ~/s1_core/hdl/rtl/sparc_core/lsu_excpctl.v
136
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_rf16x160.v
137 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/spu_lsurpt1.v
138 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/bw_r_tlb.v
139 48 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_penc64.v
140
verilog work ~/s1_core/hdl/rtl/sparc_core/tlu_prencoder16.v
141 54 fafa1971
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_eclcomp7.v
142
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_aluaddsub.v
143
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_ecl_wb.v
144
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_exu_alulogic.v
145
verilog work ~/s1_core/hdl/rtl/sparc_core/sparc_tlu_dec64.v
146
verilog work ~/s1_core/hdl/rtl/sparc_core/mul64.v
147 48 fafa1971
verilog work ~/s1_core/hdl/rtl/s1_top/rst_ctrl.v
148
verilog work ~/s1_core/hdl/rtl/s1_top/int_ctrl.v
149
verilog work ~/s1_core/hdl/rtl/s1_top/spc2wbm.v
150
verilog work ~/s1_core/hdl/rtl/s1_top/s1_top.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.