OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [hdl/] [rtl/] [sparc_core/] [lsu.v] - Blame information for rev 113

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 95 fafa1971
// ========== Copyright Header Begin ==========================================
2
// 
3
// OpenSPARC T1 Processor File: lsu.v
4
// Copyright (c) 2006 Sun Microsystems, Inc.  All Rights Reserved.
5
// DO NOT ALTER OR REMOVE COPYRIGHT NOTICES.
6
// 
7
// The above named program is free software; you can redistribute it and/or
8
// modify it under the terms of the GNU General Public
9
// License version 2 as published by the Free Software Foundation.
10
// 
11
// The above named program is distributed in the hope that it will be 
12
// useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
13
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
// General Public License for more details.
15
// 
16
// You should have received a copy of the GNU General Public
17
// License along with this work; if not, write to the Free Software
18
// Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
19
// 
20
// ========== Copyright Header End ============================================
21
////////////////////////////////////////////////////////////////////////
22
/*
23
//  Description:  Load/Store Unit for Sparc Core
24
*/
25
////////////////////////////////////////////////////////////////////////
26
// Global header file includes
27
////////////////////////////////////////////////////////////////////////
28 113 albert.wat
`include  "sys.h" // system level definition file which contains the 
29 95 fafa1971
          // time scale definition
30
 
31 113 albert.wat
`include        "iop.h"
32
`include        "lsu.h"
33 95 fafa1971
////////////////////////////////////////////////////////////////////////
34
// Local header file includes / local defines
35
////////////////////////////////////////////////////////////////////////
36
 
37
module lsu ( /*AUTOARG*/
38
   // Outputs
39
   spc_pcx_req_pq, spc_pcx_data_pa, spc_pcx_atom_pq,
40
   spc_efc_dfuse_data, mbist_dcache_data_in, lsu_tlu_wsr_inst_e,
41
   lsu_tlu_ttype_vld_m2, lsu_tlu_ttype_m2, lsu_tlu_tlb_st_inst_m,
42
   lsu_tlu_tlb_ldst_va_m, lsu_tlu_tlb_ld_inst_m,
43
   lsu_tlu_tlb_dmp_va_m, lsu_tlu_tlb_asi_state_m,
44
   lsu_tlu_tlb_access_tid_m, lsu_tlu_thrid_d, lsu_tlu_stb_full_w2,
45
   lsu_tlu_rsr_data_e, lsu_tlu_rs3_data_g, lsu_tlu_pcxpkt_ack,
46
   lsu_tlu_pctxt_m, lsu_tlu_misalign_addr_ldst_atm_m,
47
   lsu_tlu_ldst_va_m, lsu_tlu_l2_dmiss, lsu_tlu_intpkt,
48
   lsu_tlu_early_flush_w, lsu_tlu_early_flush2_w, lsu_tlu_dtlb_done,
49
   lsu_tlu_dside_ctxt_m, lsu_tlu_dmmu_miss_g,
50
   lsu_tlu_defr_trp_taken_g, lsu_tlu_dcache_miss_w2,
51
   lsu_tlu_daccess_excptn_g, lsu_tlu_cpx_vld, lsu_tlu_cpx_req,
52
   lsu_tlu_async_ttype_w2, lsu_tlu_async_ttype_vld_w2,
53
   lsu_tlu_async_tid_w2, lsu_t3_pctxt_state, lsu_t2_pctxt_state,
54
   lsu_t1_pctxt_state, lsu_t0_pctxt_state, lsu_spu_strm_ack_cmplt,
55
   lsu_spu_stb_empty, lsu_spu_ldst_ack, lsu_spu_early_flush_g,
56
   lsu_spu_asi_state_e, lsu_pid_state3, lsu_pid_state2,
57
   lsu_pid_state1, lsu_pid_state0, lsu_mmu_rs3_data_g,
58
   lsu_mmu_flush_pipe_w, lsu_mmu_defr_trp_taken_g, lsu_mamem_mrgn,
59
   lsu_itlb_mrgn, lsu_ifu_tlb_tag_ue, lsu_ifu_tlb_data_ue,
60
   lsu_ifu_tlb_data_su, lsu_ifu_stxa_data, lsu_ifu_stbcnt3,
61
   lsu_ifu_stbcnt2, lsu_ifu_stbcnt1, lsu_ifu_stbcnt0,
62
   lsu_ifu_stallreq, lsu_ifu_pcxpkt_ack_d, lsu_ifu_ldsta_internal_e,
63
   lsu_ifu_ldst_miss_w, lsu_ifu_ldst_cmplt, lsu_ifu_ld_pcxpkt_vld,
64
   lsu_ifu_ld_pcxpkt_tid, lsu_ifu_ld_icache_index,
65
   lsu_ifu_l2_unc_error, lsu_ifu_l2_corr_error, lsu_ifu_itlb_en,
66
   lsu_ifu_io_error, lsu_ifu_icache_en, lsu_ifu_flush_pipe_w,
67
   lsu_ifu_error_tid, lsu_ifu_direct_map_l1,
68
   lsu_ifu_dcache_tag_perror, lsu_ifu_dcache_data_perror,
69
   lsu_ifu_dc_parity_error_w2, lsu_ifu_cpxpkt_vld_i1,
70
   lsu_ifu_cpxpkt_i1, lsu_ifu_asi_vld, lsu_ifu_asi_thrid,
71
   lsu_ifu_asi_state, lsu_ifu_asi_load, lsu_ifu_asi_addr,
72
   lsu_ictag_mrgn, lsu_ffu_stb_full3, lsu_ffu_stb_full2,
73
   lsu_ffu_stb_full1, lsu_ffu_stb_full0, lsu_ffu_st_dtlb_perr_g,
74
   lsu_ffu_ld_vld, lsu_ffu_ld_data, lsu_ffu_flush_pipe_w,
75
   lsu_ffu_blk_asi_e, lsu_ffu_ack, lsu_exu_thr_m,
76
   lsu_exu_st_dtlb_perr_g, lsu_exu_rd_m, lsu_exu_ldst_miss_w2,
77
   lsu_exu_flush_pipe_w, lsu_exu_dfill_vld_w2, lsu_exu_dfill_data_w2,
78
   lsu_dsfsr_din_g, lsu_dmmu_sfsr_trp_wr, lsu_asi_reg3, lsu_asi_reg2,
79
   lsu_asi_reg1, lsu_asi_reg0, ifu_tlu_flush_fd_w,
80
   ifu_tlu_flush_fd3_w, ifu_tlu_flush_fd2_w, bist_ctl_reg_wr_en,
81
   bist_ctl_reg_in, lsu_asi_state, lsu_ifu_err_addr, lsu_sscan_data,
82
   ifu_tlu_inst_vld_m_bf1, lsu_ffu_bld_cnt_w, so0, so1, short_so0,
83
   short_so1, lsu_tlu_nucleus_ctxt_m, lsu_tlu_tte_pg_sz_g,
84
   lsu_tlu_squash_va_oor_m, lsu_tlu_wtchpt_trp_g,
85
   lsu_tlu_daccess_prot_g, lsu_tlu_priv_action_g,
86
   // Inputs
87
   tlu_lsu_tl_zero, tlu_lsu_tid_m, tlu_lsu_stxa_ack_tid,
88
   tlu_lsu_stxa_ack, tlu_lsu_redmode_rst_d1, tlu_lsu_redmode,
89
   tlu_lsu_pstate_priv, tlu_lsu_pstate_cle, tlu_lsu_pstate_am,
90
   tlu_lsu_priv_trap_m, tlu_lsu_pcxpkt, tlu_lsu_ldxa_tid_w2,
91
   tlu_lsu_ldxa_async_data_vld, tlu_lsu_int_ldxa_vld_w2,
92
   tlu_lsu_int_ldxa_data_w2, tlu_lsu_int_ld_ill_va_w2,
93
   tlu_lsu_hpv_priv, tlu_lsu_hpstate_en, tlu_lsu_asi_update_m,
94
   tlu_lsu_asi_m, tlu_idtlb_dmp_thrid_g, tlu_idtlb_dmp_key_g,
95
   tlu_exu_early_flush_pipe_w, tlu_early_flush_pipe_w,
96
   tlu_early_flush_pipe2_w, tlu_dtlb_tte_tag_w2,
97
   tlu_dtlb_tte_data_w2, tlu_dtlb_tag_rd_g, tlu_dtlb_rw_index_vld_g,
98
   tlu_dtlb_rw_index_g, tlu_dtlb_invalidate_all_g,
99
   tlu_dtlb_dmp_vld_g, tlu_dtlb_dmp_sctxt_g, tlu_dtlb_dmp_pctxt_g,
100
   tlu_dtlb_dmp_nctxt_g, tlu_dtlb_dmp_all_g, tlu_dtlb_dmp_actxt_g,
101
   tlu_dtlb_data_rd_g, tlu_dsfsr_flt_vld, testmode_l,
102
   spu_lsu_unc_error_w2, spu_lsu_stxa_ack_tid, spu_lsu_stxa_ack,
103
   spu_lsu_ldxa_tid_w2, spu_lsu_ldxa_illgl_va_w2,
104
   spu_lsu_ldxa_data_w2, spu_lsu_ldxa_data_vld_w2, spu_lsu_int_w2,
105
   sehold, se, pcx_spc_grant_px, mux_drive_disable,
106
   mem_write_disable, mbist_write_data, mbist_dcache_write,
107
   mbist_dcache_word, mbist_dcache_way, mbist_dcache_read,
108
   mbist_dcache_index, ifu_tlu_wsr_inst_d, ifu_tlu_thrid_e,
109
   ifu_tlu_sraddr_d, ifu_tlu_mb_inst_e, ifu_tlu_inst_vld_m,
110
   ifu_tlu_flush_m, ifu_tlu_flsh_inst_e, ifu_lsu_thrid_s,
111
   ifu_lsu_swap_e, ifu_lsu_st_inst_e, ifu_lsu_sign_ext_e,
112
   ifu_lsu_rd_e, ifu_lsu_pref_inst_e, ifu_lsu_pcxreq_d,
113
   ifu_lsu_pcxpkt_e, ifu_lsu_nceen, ifu_lsu_memref_d,
114
   ifu_lsu_ldxa_tid_w2, ifu_lsu_ldxa_illgl_va_w2,
115
   ifu_lsu_ldxa_data_w2, ifu_lsu_ldxa_data_vld_w2, ifu_lsu_ldstub_e,
116
   ifu_lsu_ldst_size_e, ifu_lsu_ldst_fp_e, ifu_lsu_ldst_dbl_e,
117
   ifu_lsu_ld_inst_e, ifu_lsu_inv_clear, ifu_lsu_imm_asi_vld_d,
118
   ifu_lsu_imm_asi_d, ifu_lsu_ibuf_busy, ifu_lsu_fwd_wr_ack,
119
   ifu_lsu_fwd_data_vld, ifu_lsu_destid_s, ifu_lsu_casa_e,
120
   ifu_lsu_asi_rd_unc, ifu_lsu_asi_ack, ifu_lsu_alt_space_e,
121
   ifu_lsu_alt_space_d, grst_l, gdbginit_l, ffu_lsu_kill_fst_w,
122
   ffu_lsu_fpop_rq_vld, ffu_lsu_blk_st_va_e, ffu_lsu_blk_st_e,
123
   exu_tlu_va_oor_m, exu_tlu_misalign_addr_jmpl_rtn_m,
124
   exu_lsu_rs3_data_e, exu_lsu_rs2_data_e, efc_spc_fuse_clk2,
125
   efc_spc_fuse_clk1, efc_spc_dfuse_dshift, efc_spc_dfuse_data,
126
   efc_spc_dfuse_ashift, ctu_sscan_tid, const_cpuid, clk,
127
   bist_ctl_reg_out, arst_l, cpx_spc_data_cx, spu_lsu_ldst_pckt,
128
   exu_lsu_ldst_va_e, exu_lsu_early_va_e, ffu_lsu_data, si0, si1,
129
   short_si1, short_si0, exu_tlu_wsr_data_m
130
   );
131
 
132
/*AUTOINPUT*/
133
// Beginning of automatic inputs (from unused autoinst inputs)
134
input                   arst_l;                 // To qctl1 of lsu_qctl1.v, ...
135
input [10:0]            bist_ctl_reg_out;       // To dctldp of lsu_dctldp.v
136
input                   clk;                    // To qctl1 of lsu_qctl1.v, ...
137
input [2:0]             const_cpuid;            // To qctl2 of lsu_qctl2.v, ...
138
input [3:0]             ctu_sscan_tid;          // To dctl of lsu_dctl.v
139
input                   efc_spc_dfuse_ashift;   // To dcdhdr of cmp_sram_redhdr.v
140
input                   efc_spc_dfuse_data;     // To dcdhdr of cmp_sram_redhdr.v
141
input                   efc_spc_dfuse_dshift;   // To dcdhdr of cmp_sram_redhdr.v
142
input                   efc_spc_fuse_clk1;      // To dcdhdr of cmp_sram_redhdr.v, ...
143
input                   efc_spc_fuse_clk2;      // To dcdhdr of cmp_sram_redhdr.v
144
input [63:0]            exu_lsu_rs2_data_e;     // To stb_rwdp of lsu_stb_rwdp.v
145
input [63:0]            exu_lsu_rs3_data_e;     // To excpctl of lsu_excpctl.v, ...
146
input                   exu_tlu_misalign_addr_jmpl_rtn_m;// To excpctl of lsu_excpctl.v
147
input                   exu_tlu_va_oor_m;       // To excpctl of lsu_excpctl.v
148
input                   ffu_lsu_blk_st_e;       // To dctl of lsu_dctl.v, ...
149
input [5:3]             ffu_lsu_blk_st_va_e;    // To stb_rwctl of lsu_stb_rwctl.v
150
input                   ffu_lsu_fpop_rq_vld;    // To qctl1 of lsu_qctl1.v
151
input                   ffu_lsu_kill_fst_w;     // To stb_rwctl of lsu_stb_rwctl.v
152
input                   gdbginit_l;             // To qctl1 of lsu_qctl1.v, ...
153
input                   grst_l;                 // To qctl2 of lsu_qctl2.v, ...
154
input                   ifu_lsu_alt_space_d;    // To dctl of lsu_dctl.v
155
input                   ifu_lsu_alt_space_e;    // To qctl1 of lsu_qctl1.v, ...
156
input                   ifu_lsu_asi_ack;        // To dctl of lsu_dctl.v
157
input                   ifu_lsu_asi_rd_unc;     // To dctl of lsu_dctl.v
158
input                   ifu_lsu_casa_e;         // To qctl1 of lsu_qctl1.v, ...
159
input [2:0]             ifu_lsu_destid_s;       // To qctl1 of lsu_qctl1.v
160
input                   ifu_lsu_fwd_data_vld;   // To qctl1 of lsu_qctl1.v, ...
161
input                   ifu_lsu_fwd_wr_ack;     // To qctl2 of lsu_qctl2.v
162
input                   ifu_lsu_ibuf_busy;      // To qctl2 of lsu_qctl2.v
163
input [7:0]             ifu_lsu_imm_asi_d;      // To dctldp of lsu_dctldp.v
164
input                   ifu_lsu_imm_asi_vld_d;  // To dctldp of lsu_dctldp.v
165
input                   ifu_lsu_inv_clear;      // To qctl2 of lsu_qctl2.v
166
input                   ifu_lsu_ld_inst_e;      // To qctl1 of lsu_qctl1.v, ...
167
input                   ifu_lsu_ldst_dbl_e;     // To qctl1 of lsu_qctl1.v, ...
168
input                   ifu_lsu_ldst_fp_e;      // To qctl1 of lsu_qctl1.v, ...
169
input [1:0]             ifu_lsu_ldst_size_e;    // To dctl of lsu_dctl.v, ...
170
input                   ifu_lsu_ldstub_e;       // To dctl of lsu_dctl.v, ...
171
input                   ifu_lsu_ldxa_data_vld_w2;// To dctl of lsu_dctl.v
172
input [63:0]            ifu_lsu_ldxa_data_w2;   // To qdp1 of lsu_qdp1.v
173
input                   ifu_lsu_ldxa_illgl_va_w2;// To dctl of lsu_dctl.v
174
input [1:0]             ifu_lsu_ldxa_tid_w2;    // To dctl of lsu_dctl.v
175
input                   ifu_lsu_memref_d;       // To qctl2 of lsu_qctl2.v, ...
176
input [3:0]             ifu_lsu_nceen;          // To excpctl of lsu_excpctl.v, ...
177
input [51:0]            ifu_lsu_pcxpkt_e;       // To qctl1 of lsu_qctl1.v, ...
178
input                   ifu_lsu_pcxreq_d;       // To qctl1 of lsu_qctl1.v
179
input                   ifu_lsu_pref_inst_e;    // To qctl1 of lsu_qctl1.v, ...
180
input [4:0]             ifu_lsu_rd_e;           // To qctl2 of lsu_qctl2.v, ...
181
input                   ifu_lsu_sign_ext_e;     // To dctl of lsu_dctl.v
182
input                   ifu_lsu_st_inst_e;      // To excpctl of lsu_excpctl.v, ...
183
input                   ifu_lsu_swap_e;         // To dctl of lsu_dctl.v, ...
184
input [1:0]             ifu_lsu_thrid_s;        // To dctl of lsu_dctl.v
185
input                   ifu_tlu_flsh_inst_e;    // To dctl of lsu_dctl.v, ...
186
input                   ifu_tlu_flush_m;        // To dctl of lsu_dctl.v
187
input                   ifu_tlu_inst_vld_m;     // To qctl1 of lsu_qctl1.v, ...
188
input                   ifu_tlu_mb_inst_e;      // To dctl of lsu_dctl.v
189
input [6:0]             ifu_tlu_sraddr_d;       // To dctl of lsu_dctl.v
190
input [1:0]             ifu_tlu_thrid_e;        // To qctl1 of lsu_qctl1.v, ...
191
input                   ifu_tlu_wsr_inst_d;     // To dctl of lsu_dctl.v
192
input [6:0]             mbist_dcache_index;     // To dctl of lsu_dctl.v
193
input                   mbist_dcache_read;      // To dctl of lsu_dctl.v
194
input [1:0]             mbist_dcache_way;       // To dctl of lsu_dctl.v
195
input                   mbist_dcache_word;      // To dctl of lsu_dctl.v
196
input                   mbist_dcache_write;     // To dctl of lsu_dctl.v
197
input [7:0]             mbist_write_data;       // To qdp2 of lsu_qdp2.v
198
input                   mem_write_disable;      // To dcache of bw_r_dcd.v, ...
199
input                   mux_drive_disable;      // To qctl1 of lsu_qctl1.v, ...
200
input [4:0]             pcx_spc_grant_px;       // To qctl1 of lsu_qctl1.v
201
input                   se;                     // To qctl1 of lsu_qctl1.v, ...
202
input                   sehold;                 // To qctl1 of lsu_qctl1.v, ...
203
input                   spu_lsu_int_w2;         // To dctl of lsu_dctl.v
204
input                   spu_lsu_ldxa_data_vld_w2;// To dctl of lsu_dctl.v
205
input [63:0]            spu_lsu_ldxa_data_w2;   // To qdp1 of lsu_qdp1.v
206
input                   spu_lsu_ldxa_illgl_va_w2;// To dctl of lsu_dctl.v
207
input [1:0]             spu_lsu_ldxa_tid_w2;    // To dctl of lsu_dctl.v
208
input                   spu_lsu_stxa_ack;       // To dctl of lsu_dctl.v
209
input [1:0]             spu_lsu_stxa_ack_tid;   // To dctl of lsu_dctl.v
210
input                   spu_lsu_unc_error_w2;   // To dctl of lsu_dctl.v
211
input                   testmode_l;             // To dcdhdr of cmp_sram_redhdr.v
212
input [3:0]             tlu_dsfsr_flt_vld;      // To excpctl of lsu_excpctl.v
213
input                   tlu_dtlb_data_rd_g;     // To dctl of lsu_dctl.v
214
input                   tlu_dtlb_dmp_actxt_g;   // To dctl of lsu_dctl.v, ...
215
input                   tlu_dtlb_dmp_all_g;     // To dctl of lsu_dctl.v
216
input                   tlu_dtlb_dmp_nctxt_g;   // To dctl of lsu_dctl.v
217
input                   tlu_dtlb_dmp_pctxt_g;   // To dctl of lsu_dctl.v
218
input                   tlu_dtlb_dmp_sctxt_g;   // To dctl of lsu_dctl.v
219
input                   tlu_dtlb_dmp_vld_g;     // To dctl of lsu_dctl.v
220
input                   tlu_dtlb_invalidate_all_g;// To dctl of lsu_dctl.v
221
input [5:0]             tlu_dtlb_rw_index_g;    // To dctl of lsu_dctl.v, ...
222
input                   tlu_dtlb_rw_index_vld_g;// To dctl of lsu_dctl.v
223
input                   tlu_dtlb_tag_rd_g;      // To dctl of lsu_dctl.v
224
input [42:0]            tlu_dtlb_tte_data_w2;   // To dtlb of bw_r_tlb.v
225
input [58:0]            tlu_dtlb_tte_tag_w2;    // To dctldp of lsu_dctldp.v, ...
226
input                   tlu_early_flush_pipe2_w;// To qctl1 of lsu_qctl1.v, ...
227
input                   tlu_early_flush_pipe_w; // To excpctl of lsu_excpctl.v
228
input                   tlu_exu_early_flush_pipe_w;// To stb_rwctl of lsu_stb_rwctl.v
229
input [40:0]            tlu_idtlb_dmp_key_g;    // To dtlb of bw_r_tlb.v
230
input [1:0]             tlu_idtlb_dmp_thrid_g;  // To dctl of lsu_dctl.v
231
input [7:0]             tlu_lsu_asi_m;          // To dctldp of lsu_dctldp.v
232
input                   tlu_lsu_asi_update_m;   // To dctl of lsu_dctl.v
233
input [3:0]             tlu_lsu_hpstate_en;     // To dctl of lsu_dctl.v
234
input [3:0]             tlu_lsu_hpv_priv;       // To dctl of lsu_dctl.v
235
input                   tlu_lsu_int_ld_ill_va_w2;// To dctl of lsu_dctl.v
236
input [63:0]            tlu_lsu_int_ldxa_data_w2;// To qdp1 of lsu_qdp1.v
237
input                   tlu_lsu_int_ldxa_vld_w2;// To dctl of lsu_dctl.v
238
input                   tlu_lsu_ldxa_async_data_vld;// To dctl of lsu_dctl.v
239
input [1:0]             tlu_lsu_ldxa_tid_w2;    // To dctl of lsu_dctl.v
240
input [25:0]            tlu_lsu_pcxpkt;         // To qctl1 of lsu_qctl1.v, ...
241
input                   tlu_lsu_priv_trap_m;    // To excpctl of lsu_excpctl.v
242
input [3:0]             tlu_lsu_pstate_am;      // To excpctl of lsu_excpctl.v, ...
243
input [3:0]             tlu_lsu_pstate_cle;     // To excpctl of lsu_excpctl.v, ...
244
input [3:0]             tlu_lsu_pstate_priv;    // To excpctl of lsu_excpctl.v
245
input [3:0]             tlu_lsu_redmode;        // To dctl of lsu_dctl.v
246
input [3:0]             tlu_lsu_redmode_rst_d1; // To dctl of lsu_dctl.v
247
input                   tlu_lsu_stxa_ack;       // To dctl of lsu_dctl.v
248
input [1:0]             tlu_lsu_stxa_ack_tid;   // To dctl of lsu_dctl.v
249
input [1:0]             tlu_lsu_tid_m;          // To dctl of lsu_dctl.v
250
input [3:0]             tlu_lsu_tl_zero;        // To dctl of lsu_dctl.v
251
// End of automatics
252
/*AUTOOUTPUT*/
253
// Beginning of automatic outputs (from unused autoinst outputs)
254
output [6:0]            bist_ctl_reg_in;        // From dctldp of lsu_dctldp.v
255
output                  bist_ctl_reg_wr_en;     // From dctl of lsu_dctl.v
256
output                  ifu_tlu_flush_fd2_w;    // From dctl of lsu_dctl.v
257
output                  ifu_tlu_flush_fd3_w;    // From dctl of lsu_dctl.v
258
output                  ifu_tlu_flush_fd_w;     // From dctl of lsu_dctl.v
259
output [7:0]            lsu_asi_reg0;           // From dctldp of lsu_dctldp.v
260
output [7:0]            lsu_asi_reg1;           // From dctldp of lsu_dctldp.v
261
output [7:0]            lsu_asi_reg2;           // From dctldp of lsu_dctldp.v
262
output [7:0]            lsu_asi_reg3;           // From dctldp of lsu_dctldp.v
263
output [3:0]            lsu_dmmu_sfsr_trp_wr;   // From excpctl of lsu_excpctl.v
264
output [23:0]           lsu_dsfsr_din_g;        // From excpctl of lsu_excpctl.v
265
output [63:0]           lsu_exu_dfill_data_w2;  // From dcdp of lsu_dcdp.v
266
output                  lsu_exu_dfill_vld_w2;   // From dctl of lsu_dctl.v
267
output                  lsu_exu_flush_pipe_w;   // From excpctl of lsu_excpctl.v
268
output                  lsu_exu_ldst_miss_w2;   // From dctl of lsu_dctl.v
269
output [4:0]            lsu_exu_rd_m;           // From qctl2 of lsu_qctl2.v
270
output                  lsu_exu_st_dtlb_perr_g; // From excpctl of lsu_excpctl.v
271
output [1:0]            lsu_exu_thr_m;          // From dctl of lsu_dctl.v
272
output                  lsu_ffu_ack;            // From qctl1 of lsu_qctl1.v
273
output                  lsu_ffu_blk_asi_e;      // From dctl of lsu_dctl.v
274
output                  lsu_ffu_flush_pipe_w;   // From excpctl of lsu_excpctl.v
275
output [63:0]           lsu_ffu_ld_data;        // From dcdp of lsu_dcdp.v
276
output                  lsu_ffu_ld_vld;         // From dctl of lsu_dctl.v
277
output                  lsu_ffu_st_dtlb_perr_g; // From excpctl of lsu_excpctl.v
278
output                  lsu_ffu_stb_full0;      // From stb_rwctl of lsu_stb_rwctl.v
279
output                  lsu_ffu_stb_full1;      // From stb_rwctl of lsu_stb_rwctl.v
280
output                  lsu_ffu_stb_full2;      // From stb_rwctl of lsu_stb_rwctl.v
281
output                  lsu_ffu_stb_full3;      // From stb_rwctl of lsu_stb_rwctl.v
282
output [3:0]            lsu_ictag_mrgn;         // From dctldp of lsu_dctldp.v
283
output [17:0]           lsu_ifu_asi_addr;       // From dctldp of lsu_dctldp.v
284
output                  lsu_ifu_asi_load;       // From dctl of lsu_dctl.v
285
output [7:0]            lsu_ifu_asi_state;      // From dctldp of lsu_dctldp.v
286
output [1:0]            lsu_ifu_asi_thrid;      // From dctl of lsu_dctl.v
287
output                  lsu_ifu_asi_vld;        // From dctl of lsu_dctl.v
288 113 albert.wat
output [`CPX_VLD-1:0]   lsu_ifu_cpxpkt_i1;      // From qdp2 of lsu_qdp2.v
289 95 fafa1971
output                  lsu_ifu_cpxpkt_vld_i1;  // From qctl2 of lsu_qctl2.v
290
output                  lsu_ifu_dc_parity_error_w2;// From dctl of lsu_dctl.v
291
output                  lsu_ifu_dcache_data_perror;// From dctl of lsu_dctl.v
292
output                  lsu_ifu_dcache_tag_perror;// From dctl of lsu_dctl.v
293
output                  lsu_ifu_direct_map_l1;  // From dctldp of lsu_dctldp.v
294
output [1:0]            lsu_ifu_error_tid;      // From dctl of lsu_dctl.v
295
output                  lsu_ifu_flush_pipe_w;   // From excpctl of lsu_excpctl.v
296
output [3:0]            lsu_ifu_icache_en;      // From dctl of lsu_dctl.v
297
output                  lsu_ifu_io_error;       // From dctl of lsu_dctl.v
298
output [3:0]            lsu_ifu_itlb_en;        // From dctl of lsu_dctl.v
299
output                  lsu_ifu_l2_corr_error;  // From dctl of lsu_dctl.v
300
output                  lsu_ifu_l2_unc_error;   // From dctl of lsu_dctl.v
301
output [11:5]           lsu_ifu_ld_icache_index;// From qdp1 of lsu_qdp1.v
302
output [1:0]            lsu_ifu_ld_pcxpkt_tid;  // From qdp1 of lsu_qdp1.v
303
output                  lsu_ifu_ld_pcxpkt_vld;  // From qctl1 of lsu_qctl1.v
304
output [3:0]            lsu_ifu_ldst_cmplt;     // From dctl of lsu_dctl.v
305
output                  lsu_ifu_ldst_miss_w;    // From dctl of lsu_dctl.v
306
output                  lsu_ifu_ldsta_internal_e;// From dctl of lsu_dctl.v
307
output                  lsu_ifu_pcxpkt_ack_d;   // From qctl1 of lsu_qctl1.v
308
output                  lsu_ifu_stallreq;       // From qctl2 of lsu_qctl2.v
309
output [3:0]            lsu_ifu_stbcnt0;        // From stb_rwctl of lsu_stb_rwctl.v
310
output [3:0]            lsu_ifu_stbcnt1;        // From stb_rwctl of lsu_stb_rwctl.v
311
output [3:0]            lsu_ifu_stbcnt2;        // From stb_rwctl of lsu_stb_rwctl.v
312
output [3:0]            lsu_ifu_stbcnt3;        // From stb_rwctl of lsu_stb_rwctl.v
313
output [47:0]           lsu_ifu_stxa_data;      // From qdp1 of lsu_qdp1.v
314
output                  lsu_ifu_tlb_data_su;    // From excpctl of lsu_excpctl.v
315
output                  lsu_ifu_tlb_data_ue;    // From excpctl of lsu_excpctl.v
316
output                  lsu_ifu_tlb_tag_ue;     // From excpctl of lsu_excpctl.v
317
output [7:0]            lsu_itlb_mrgn;          // From dctldp of lsu_dctldp.v
318
output [3:0]            lsu_mamem_mrgn;         // From dctldp of lsu_dctldp.v
319
output                  lsu_mmu_defr_trp_taken_g;// From excpctl of lsu_excpctl.v
320
output                  lsu_mmu_flush_pipe_w;   // From excpctl of lsu_excpctl.v
321
output [63:0]           lsu_mmu_rs3_data_g;     // From qdp1 of lsu_qdp1.v
322
output [2:0]            lsu_pid_state0;         // From dctldp of lsu_dctldp.v
323
output [2:0]            lsu_pid_state1;         // From dctldp of lsu_dctldp.v
324
output [2:0]            lsu_pid_state2;         // From dctldp of lsu_dctldp.v
325
output [2:0]            lsu_pid_state3;         // From dctldp of lsu_dctldp.v
326
output [7:0]            lsu_spu_asi_state_e;    // From dctldp of lsu_dctldp.v
327
output                  lsu_spu_early_flush_g;  // From excpctl of lsu_excpctl.v
328
output                  lsu_spu_ldst_ack;       // From qctl1 of lsu_qctl1.v
329
output [3:0]            lsu_spu_stb_empty;      // From stb_rwctl of lsu_stb_rwctl.v
330
output [1:0]            lsu_spu_strm_ack_cmplt; // From qctl2 of lsu_qctl2.v
331
output [12:0]           lsu_t0_pctxt_state;     // From dctldp of lsu_dctldp.v
332
output [12:0]           lsu_t1_pctxt_state;     // From dctldp of lsu_dctldp.v
333
output [12:0]           lsu_t2_pctxt_state;     // From dctldp of lsu_dctldp.v
334
output [12:0]           lsu_t3_pctxt_state;     // From dctldp of lsu_dctldp.v
335
output [1:0]            lsu_tlu_async_tid_w2;   // From dctl of lsu_dctl.v
336
output                  lsu_tlu_async_ttype_vld_w2;// From dctl of lsu_dctl.v
337
output [6:0]            lsu_tlu_async_ttype_w2; // From dctl of lsu_dctl.v
338
output [3:0]            lsu_tlu_cpx_req;        // From qctl2 of lsu_qctl2.v
339
output                  lsu_tlu_cpx_vld;        // From qctl2 of lsu_qctl2.v
340
output                  lsu_tlu_daccess_excptn_g;// From excpctl of lsu_excpctl.v
341
output [3:0]            lsu_tlu_dcache_miss_w2; // From qctl1 of lsu_qctl1.v
342
output                  lsu_tlu_defr_trp_taken_g;// From excpctl of lsu_excpctl.v
343
output                  lsu_tlu_dmmu_miss_g;    // From excpctl of lsu_excpctl.v
344
output [12:0]           lsu_tlu_dside_ctxt_m;   // From dctldp of lsu_dctldp.v
345
output                  lsu_tlu_dtlb_done;      // From dctl of lsu_dctl.v
346
output                  lsu_tlu_early_flush2_w; // From excpctl of lsu_excpctl.v
347
output                  lsu_tlu_early_flush_w;  // From excpctl of lsu_excpctl.v
348
output [17:0]           lsu_tlu_intpkt;         // From qctl2 of lsu_qctl2.v
349
output [3:0]            lsu_tlu_l2_dmiss;       // From qctl2 of lsu_qctl2.v
350
output [9:0]            lsu_tlu_ldst_va_m;      // From dctldp of lsu_dctldp.v
351
output                  lsu_tlu_misalign_addr_ldst_atm_m;// From excpctl of lsu_excpctl.v
352
output [12:0]           lsu_tlu_pctxt_m;        // From dctldp of lsu_dctldp.v
353
output                  lsu_tlu_pcxpkt_ack;     // From qctl1 of lsu_qctl1.v
354
output [63:0]           lsu_tlu_rs3_data_g;     // From qdp1 of lsu_qdp1.v
355
output [7:0]            lsu_tlu_rsr_data_e;     // From dctldp of lsu_dctldp.v
356
output [3:0]            lsu_tlu_stb_full_w2;    // From stb_ctl0 of lsu_stb_ctl.v, ...
357
output [1:0]            lsu_tlu_thrid_d;        // From dctl of lsu_dctl.v
358
output [1:0]            lsu_tlu_tlb_access_tid_m;// From dctl of lsu_dctl.v
359
output [7:0]            lsu_tlu_tlb_asi_state_m;// From dctldp of lsu_dctldp.v
360
output [47:13]          lsu_tlu_tlb_dmp_va_m;   // From dctldp of lsu_dctldp.v
361
output                  lsu_tlu_tlb_ld_inst_m;  // From dctl of lsu_dctl.v
362
output [10:0]           lsu_tlu_tlb_ldst_va_m;  // From dctldp of lsu_dctldp.v
363
output                  lsu_tlu_tlb_st_inst_m;  // From dctl of lsu_dctl.v
364
output [8:0]            lsu_tlu_ttype_m2;       // From excpctl of lsu_excpctl.v
365
output                  lsu_tlu_ttype_vld_m2;   // From excpctl of lsu_excpctl.v
366
output                  lsu_tlu_wsr_inst_e;     // From dctl of lsu_dctl.v
367
output [71:0]           mbist_dcache_data_in;   // From dcdp of lsu_dcdp.v
368
output                  spc_efc_dfuse_data;     // From dcdhdr of cmp_sram_redhdr.v
369
output                  spc_pcx_atom_pq;        // From qctl1 of lsu_qctl1.v
370 113 albert.wat
output [`PCX_WIDTH-1:0] spc_pcx_data_pa;        // From qdp1 of lsu_qdp1.v
371 95 fafa1971
output [4:0]            spc_pcx_req_pq;         // From qctl1 of lsu_qctl1.v
372
// End of automatics
373
/*AUTOWIRE*/
374
// Beginning of automatic wires (for undeclared instantiated-module outputs)
375
 
376
wire                    as_if_user_asi_m;       // From dctl of lsu_dctl.v
377
wire [7:0]              asi_d;                  // From dctldp of lsu_dctldp.v
378
wire                    asi_internal_m;         // From dctl of lsu_dctl.v
379
wire [3:0]              asi_state_wr_thrd;      // From dctl of lsu_dctl.v
380
wire                    asi_tte_data_perror;    // From excpctl of lsu_excpctl.v
381
wire                    asi_tte_tag_perror;     // From excpctl of lsu_excpctl.v
382
wire [5:0]              async_tlb_index;        // From dctl of lsu_dctl.v
383
wire                    atomic_asi_m;           // From dctl of lsu_dctl.v
384
wire                    atomic_m;               // From dctl of lsu_dctl.v
385
wire                    binit_quad_asi_m;       // From dctl of lsu_dctl.v
386
wire                    bist_tap_wr_en;         // From dctl of lsu_dctl.v
387
wire                    blk_asi_m;              // From dctl of lsu_dctl.v
388
wire                    cache_hit;              // From dtlb of bw_r_tlb.v
389
wire [3:0]              cache_way_hit;          // From dtlb of bw_r_tlb.v
390
wire [3:0]              cache_way_hit_buf1;     // From tlbdp of lsu_tlbdp.v
391
wire [3:0]              cache_way_hit_buf2;     // From tlbdp of lsu_tlbdp.v
392
wire                    cam_real_m;             // From dctl of lsu_dctl.v
393
wire                    cpx_fwd_pkt_en_cx;      // From qctl2 of lsu_qctl2.v
394
wire                    cpx_st_ack_tid0;        // From qctl2 of lsu_qctl2.v
395
wire                    cpx_st_ack_tid1;        // From qctl2 of lsu_qctl2.v
396
wire                    cpx_st_ack_tid2;        // From qctl2 of lsu_qctl2.v
397
wire                    cpx_st_ack_tid3;        // From qctl2 of lsu_qctl2.v
398
wire                    data_rd_vld_g;          // From dctl of lsu_dctl.v
399
wire                    dc_direct_map;          // From dctldp of lsu_dctldp.v
400
wire [63:0]             dcache_alt_data_w0_m;   // From qdp1 of lsu_qdp1.v
401
wire                    dcache_alt_mx_sel_e;    // From dctl of lsu_dctl.v
402
wire                    dcache_alt_mx_sel_e_bf; // From dctl of lsu_dctl.v
403
wire                    dcache_arry_data_sel_m; // From dctl of lsu_dctl.v
404
wire [15:0]             dcache_byte_wr_en_e;    // From dctl of lsu_dctl.v
405
wire [7:0]              dcache_iob_addr_e;      // From qdp2 of lsu_qdp2.v
406
wire [7:0]              dcache_rdata_msb_w0_m;  // From dcache of bw_r_dcd.v
407
wire [7:0]              dcache_rdata_msb_w1_m;  // From dcache of bw_r_dcd.v
408
wire [7:0]              dcache_rdata_msb_w2_m;  // From dcache of bw_r_dcd.v
409
wire [7:0]              dcache_rdata_msb_w3_m;  // From dcache of bw_r_dcd.v
410
wire [63:0]             dcache_rdata_wb;        // From dcache of bw_r_dcd.v
411
wire [63:0]             dcache_rdata_wb_buf;    // From dcdp of lsu_dcdp.v
412
wire                    dcache_rparity_err_wb;  // From dcache of bw_r_dcd.v
413
wire [7:0]              dcache_rparity_wb;      // From dcache of bw_r_dcd.v
414
wire                    dcache_rvld_e;          // From dctl of lsu_dctl.v
415
wire [1:0]              dcd_fuse_repair_en;     // From dcache of bw_r_dcd.v
416
wire [7:0]              dcd_fuse_repair_value;  // From dcache of bw_r_dcd.v
417
wire                    dctl_rst_l;             // From dctl of lsu_dctl.v
418
wire                    dfill_tlb_asi_e;        // From dctl of lsu_dctl.v
419
wire                    dfq_byp_ff_en;          // From qctl2 of lsu_qctl2.v
420
wire [3:0]              dfq_byp_sel;            // From qctl2 of lsu_qctl2.v
421
wire [4:0]              dfq_rptr;               // From qctl2 of lsu_qctl2.v
422
wire                    dfq_rptr_vld;           // From qctl2 of lsu_qctl2.v
423
wire [1:0]              dfq_tid;                // From qdp2 of lsu_qdp2.v
424
wire [4:0]              dfq_wptr;               // From qctl2 of lsu_qctl2.v
425
wire                    dfq_wptr_vld;           // From qctl2 of lsu_qctl2.v
426
wire [3:0]              dfture_tap_rd_en;       // From dctl of lsu_dctl.v
427
wire                    dfture_tap_wr_mx_sel;   // From dctl of lsu_dctl.v
428
wire [32:0]             dtag_rdata_w0_m;        // From dtag of bw_r_idct.v
429
wire [32:0]             dtag_rdata_w1_m;        // From dtag of bw_r_idct.v
430
wire [32:0]             dtag_rdata_w2_m;        // From dtag of bw_r_idct.v
431
wire [32:0]             dtag_rdata_w3_m;        // From dtag of bw_r_idct.v
432
wire                    dtlb_bypass_m;          // From dctl of lsu_dctl.v
433
wire [15:0]             dva_bit_wr_en_e;        // From dctl of lsu_dctl.v
434
wire                    dva_din_e;              // From dctl of lsu_dctl.v
435
wire [4:0]              dva_snp_addr_e;         // From qctl2 of lsu_qctl2.v
436
wire [15:0]             dva_snp_bit_wr_en_e;    // From qctl2 of lsu_qctl2.v
437
wire                    dva_svld_e;             // From qctl2 of lsu_qctl2.v
438
wire [3:0]              dva_vld_m;              // From dva of bw_r_rf16x32.v
439
wire [3:0]              dva_vld_m_bf;           // From dctl of lsu_dctl.v
440
wire [10:6]             dva_wr_adr_e;           // From dctl of lsu_dctl.v
441
wire                    flsh_inst_m;            // From stb_rwctl of lsu_stb_rwctl.v
442
wire                    fp_ldst_m;              // From dctl of lsu_dctl.v
443
wire [1:0]              fuse_dcd_repair_en;     // From dcdhdr of cmp_sram_redhdr.v
444
wire [7:0]              fuse_dcd_repair_value;  // From dcdhdr of cmp_sram_redhdr.v
445
wire [5:0]              fuse_dcd_rid;           // From dcdhdr of cmp_sram_redhdr.v
446
wire                    fuse_dcd_wren;          // From dcdhdr of cmp_sram_redhdr.v
447
wire [2:0]              fwd_int_fp_pcx_mx_sel;  // From qctl1 of lsu_qctl1.v
448
wire                    hpstate_en_m;           // From dctl of lsu_dctl.v
449
wire                    hpv_priv_m;             // From dctl of lsu_dctl.v
450
wire                    ifill_tlb_asi_e;        // From dctl of lsu_dctl.v
451
wire                    ifu_lsu_flush_w;        // From dctl of lsu_dctl.v
452
wire                    ifu_tlu_inst_vld_m_bf2; // From stb_rwctl of lsu_stb_rwctl.v
453
wire                    imiss_pcx_mx_sel;       // From qctl1 of lsu_qctl1.v
454
wire                    l2fill_vld_m;           // From dctl of lsu_dctl.v
455
wire [3:0]              lctl_rst;               // From dctl of lsu_dctl.v
456 113 albert.wat
wire [`LMQ_WIDTH-1:40]  ld_pcx_pkt_g;           // From dctl of lsu_dctl.v
457 95 fafa1971
wire [1:0]              ld_pcx_thrd;            // From qctl1 of lsu_qctl1.v
458
wire [2:0]              ld_rawp_st_ackid_w2;    // From stb_rwctl of lsu_stb_rwctl.v
459
wire                    ld_rawp_st_ced_w2;      // From stb_rwctl of lsu_stb_rwctl.v
460
wire                    ld_sec_active;          // From qctl2 of lsu_qctl2.v
461
wire                    ld_sec_hit_thrd0;       // From qdp1 of lsu_qdp1.v
462
wire                    ld_sec_hit_thrd1;       // From qdp1 of lsu_qdp1.v
463
wire                    ld_sec_hit_thrd2;       // From qdp1 of lsu_qdp1.v
464
wire                    ld_sec_hit_thrd3;       // From qdp1 of lsu_qdp1.v
465
wire                    ld_stb_full_raw_w2;     // From qctl1 of lsu_qctl1.v
466
wire [3:0]              ld_thrd_byp_mxsel_m;    // From dctl of lsu_dctl.v
467
wire                    lda_internal_m;         // From dctl of lsu_dctl.v
468
wire                    ldd_in_dfq_out;         // From qctl2 of lsu_qctl2.v
469
wire                    ldiagctl_wr_en;         // From dctl of lsu_dctl.v
470
wire                    ldst_dbl_m;             // From dctl of lsu_dctl.v
471
wire [1:0]              ldst_sz_m;              // From dctl of lsu_dctl.v
472
wire                    ldxa_internal;          // From dctl of lsu_dctl.v
473
wire [1:0]              lmq0_byp_misc_sz;       // From qdp1 of lsu_qdp1.v
474
wire                    lmq0_l2fill_fpld;       // From qdp1 of lsu_qdp1.v
475
wire [2:0]              lmq0_ld_rq_type;        // From qdp1 of lsu_qdp1.v
476
wire                    lmq0_ldd_vld;           // From qdp1 of lsu_qdp1.v
477
wire                    lmq0_ncache_ld;         // From qdp1 of lsu_qdp1.v
478
wire [10:0]             lmq0_pcx_pkt_addr;      // From qdp1 of lsu_qdp1.v
479
wire [1:0]              lmq0_pcx_pkt_way;       // From qctl1 of lsu_qctl1.v
480
wire [1:0]              lmq1_byp_misc_sz;       // From qdp1 of lsu_qdp1.v
481
wire                    lmq1_l2fill_fpld;       // From qdp1 of lsu_qdp1.v
482
wire [2:0]              lmq1_ld_rq_type;        // From qdp1 of lsu_qdp1.v
483
wire                    lmq1_ldd_vld;           // From qdp1 of lsu_qdp1.v
484
wire                    lmq1_ncache_ld;         // From qdp1 of lsu_qdp1.v
485
wire [10:0]             lmq1_pcx_pkt_addr;      // From qdp1 of lsu_qdp1.v
486
wire [1:0]              lmq1_pcx_pkt_way;       // From qctl1 of lsu_qctl1.v
487
wire [1:0]              lmq2_byp_misc_sz;       // From qdp1 of lsu_qdp1.v
488
wire                    lmq2_l2fill_fpld;       // From qdp1 of lsu_qdp1.v
489
wire [2:0]              lmq2_ld_rq_type;        // From qdp1 of lsu_qdp1.v
490
wire                    lmq2_ldd_vld;           // From qdp1 of lsu_qdp1.v
491
wire                    lmq2_ncache_ld;         // From qdp1 of lsu_qdp1.v
492
wire [10:0]             lmq2_pcx_pkt_addr;      // From qdp1 of lsu_qdp1.v
493
wire [1:0]              lmq2_pcx_pkt_way;       // From qctl1 of lsu_qctl1.v
494
wire [1:0]              lmq3_byp_misc_sz;       // From qdp1 of lsu_qdp1.v
495
wire                    lmq3_l2fill_fpld;       // From qdp1 of lsu_qdp1.v
496
wire [2:0]              lmq3_ld_rq_type;        // From qdp1 of lsu_qdp1.v
497
wire                    lmq3_ldd_vld;           // From qdp1 of lsu_qdp1.v
498
wire                    lmq3_ncache_ld;         // From qdp1 of lsu_qdp1.v
499
wire [10:0]             lmq3_pcx_pkt_addr;      // From qdp1 of lsu_qdp1.v
500
wire [1:0]              lmq3_pcx_pkt_way;       // From qctl1 of lsu_qctl1.v
501
wire [3:0]              lmq_byp_data_en_w2;     // From dctl of lsu_dctl.v
502
wire [3:0]              lmq_byp_data_fmx_sel;   // From dctl of lsu_dctl.v
503
wire [3:0]              lmq_byp_data_mxsel0;    // From dctl of lsu_dctl.v
504
wire [3:0]              lmq_byp_data_mxsel1;    // From dctl of lsu_dctl.v
505
wire [3:0]              lmq_byp_data_mxsel2;    // From dctl of lsu_dctl.v
506
wire [3:0]              lmq_byp_data_mxsel3;    // From dctl of lsu_dctl.v
507
wire [2:0]              lmq_byp_ldxa_mxsel0;    // From dctl of lsu_dctl.v
508
wire [2:0]              lmq_byp_ldxa_mxsel1;    // From dctl of lsu_dctl.v
509
wire [2:0]              lmq_byp_ldxa_mxsel2;    // From dctl of lsu_dctl.v
510
wire [2:0]              lmq_byp_ldxa_mxsel3;    // From dctl of lsu_dctl.v
511
wire [3:0]              lmq_enable;             // From qctl1 of lsu_qctl1.v
512
wire                    lmq_ld_addr_b3;         // From dctl of lsu_dctl.v
513
wire [4:0]              lmq_ld_rd1;             // From qdp1 of lsu_qdp1.v
514
wire                    lmq_ldd_vld;            // From dctl of lsu_dctl.v
515
wire                    lsu_alt_space_m;        // From dctl of lsu_dctl.v
516
wire [2:0]              lsu_asi_sel_fmx1;       // From dctl of lsu_dctl.v
517
wire [2:0]              lsu_asi_sel_fmx2;       // From dctl of lsu_dctl.v
518
wire                    lsu_atm_st_cmplt_e;     // From qctl2 of lsu_qctl2.v
519
wire [2:0]              lsu_atomic_pkt2_bsel_g; // From dctl of lsu_dctl.v
520
wire [3:0]              lsu_bist_rsel_way_e;    // From dctl of lsu_dctl.v
521
wire [2:0]              lsu_bld_cnt_m;          // From qctl1 of lsu_qctl1.v
522
wire                    lsu_bld_helper_cmplt_m; // From qctl1 of lsu_qctl1.v
523
wire                    lsu_bld_pcx_rq;         // From qctl1 of lsu_qctl1.v
524
wire                    lsu_bld_reset;          // From qctl1 of lsu_qctl1.v
525
wire [1:0]              lsu_bld_rq_addr;        // From qctl1 of lsu_qctl1.v
526
wire                    lsu_blk_asi_m;          // From dctl of lsu_dctl.v
527
wire                    lsu_blk_st_m;           // From dctl of lsu_dctl.v
528
wire [39:10]            lsu_blkst_pgnum_m;      // From dctl of lsu_dctl.v
529
wire                    lsu_bst_in_pipe_m;      // From dctl of lsu_dctl.v
530
wire                    lsu_byp_ldd_oddrd_m;    // From qctl2 of lsu_qctl2.v
531
wire [1:0]              lsu_byp_misc_sz_e;      // From qdp1 of lsu_qdp1.v
532
wire [7:0]              lsu_cpu_dcd_sel;        // From qctl2 of lsu_qctl2.v
533
wire                    lsu_cpu_inv_data_b0;    // From qdp2 of lsu_qdp2.v
534
wire [13:9]             lsu_cpu_inv_data_b13to9;// From qdp2 of lsu_qdp2.v
535
wire [7:2]              lsu_cpu_inv_data_b7to2; // From qdp2 of lsu_qdp2.v
536
wire                    lsu_cpu_uhlf_sel;       // From qctl2 of lsu_qctl2.v
537
wire                    lsu_cpx_ld_dcache_perror_e;// From qctl2 of lsu_qctl2.v
538
wire                    lsu_cpx_ld_dtag_perror_e;// From qctl2 of lsu_qctl2.v
539
wire                    lsu_cpx_pkt_atm_st_cmplt;// From qdp2 of lsu_qdp2.v
540
wire                    lsu_cpx_pkt_atomic;     // From qdp2 of lsu_qdp2.v
541
wire                    lsu_cpx_pkt_binit_st;   // From qdp2 of lsu_qdp2.v
542
wire                    lsu_cpx_pkt_ifill_type; // From qdp2 of lsu_qdp2.v
543
wire [4:0]              lsu_cpx_pkt_inv_pa;     // From qdp2 of lsu_qdp2.v
544
wire [1:0]              lsu_cpx_pkt_invwy;      // From qdp2 of lsu_qdp2.v
545
wire                    lsu_cpx_pkt_l2miss;     // From qdp2 of lsu_qdp2.v
546
wire [1:0]              lsu_cpx_pkt_ld_err;     // From qdp2 of lsu_qdp2.v
547
wire                    lsu_cpx_pkt_perror_dinv;// From qdp2 of lsu_qdp2.v
548
wire                    lsu_cpx_pkt_perror_iinv;// From qdp2 of lsu_qdp2.v
549
wire [1:0]              lsu_cpx_pkt_perror_set; // From qdp2 of lsu_qdp2.v
550
wire                    lsu_cpx_pkt_prefetch;   // From qdp2 of lsu_qdp2.v
551
wire                    lsu_cpx_pkt_prefetch2;  // From qdp2 of lsu_qdp2.v
552
wire                    lsu_cpx_pkt_strm_ack;   // From qdp2 of lsu_qdp2.v
553
wire [1:0]              lsu_cpx_pkt_tid;        // From qdp2 of lsu_qdp2.v
554
wire [3:0]              lsu_cpx_rmo_st_ack;     // From qctl2 of lsu_qctl2.v
555
wire                    lsu_cpx_spc_inv_vld;    // From qctl2 of lsu_qctl2.v
556
wire                    lsu_cpx_stack_dcfill_vld;// From qctl2 of lsu_qctl2.v
557
wire [3:0]              lsu_cpx_thrdid;         // From qctl2 of lsu_qctl2.v
558
wire [5:0]              lsu_cpxpkt_type_dcd_cx; // From qctl2 of lsu_qctl2.v
559
wire [3:0]              lsu_ctl_state_wr_en;    // From dctl of lsu_dctl.v
560
wire                    lsu_dc_iob_access_e;    // From dctl of lsu_dctl.v
561
wire                    lsu_dcache_data_perror_g;// From dctl of lsu_dctl.v
562
wire [10:3]             lsu_dcache_fill_addr_e; // From dctl of lsu_dctl.v
563
wire [10:4]             lsu_dcache_fill_addr_e_err;// From dctl of lsu_dctl.v
564
wire [143:0]            lsu_dcache_fill_data_e; // From qdp2 of lsu_qdp2.v
565
wire [3:0]              lsu_dcache_fill_way_e;  // From dctl of lsu_dctl.v
566
wire                    lsu_dcache_iob_rd_w;    // From qctl2 of lsu_qctl2.v
567
wire [1:0]              lsu_dcache_iob_way_e;   // From qdp2 of lsu_qdp2.v
568
wire [1:0]              lsu_dcache_rand;        // From dctl of lsu_dctl.v
569
wire                    lsu_dcache_tag_perror_g;// From dctl of lsu_dctl.v
570
wire                    lsu_dcache_wr_vld_e;    // From dctl of lsu_dctl.v
571
wire                    lsu_dcfill_active_e;    // From qctl2 of lsu_qctl2.v
572
wire                    lsu_dcfill_data_mx_sel_e;// From dctl of lsu_dctl.v
573
wire [3:0]              lsu_dctag_mrgn;         // From dctldp of lsu_dctldp.v
574
wire [7:0]              lsu_dctl_asi_state_m;   // From dctldp of lsu_dctldp.v
575
wire                    lsu_dctldp_thread0_m;   // From dctl of lsu_dctl.v
576
wire                    lsu_dctldp_thread1_m;   // From dctl of lsu_dctl.v
577
wire                    lsu_dctldp_thread2_m;   // From dctl of lsu_dctl.v
578
wire                    lsu_dctldp_thread3_m;   // From dctl of lsu_dctl.v
579
wire                    lsu_defr_trp_taken_g;   // From excpctl of lsu_excpctl.v
580
wire                    lsu_dfill_data_sel_hi;  // From qctl2 of lsu_qctl2.v
581
wire [3:0]              lsu_dfill_dcd_thrd;     // From qctl2 of lsu_qctl2.v
582
wire                    lsu_dfq_byp_atm;        // From qdp2 of lsu_qdp2.v
583
wire                    lsu_dfq_byp_binit_st;   // From qdp2 of lsu_qdp2.v
584
wire                    lsu_dfq_byp_cpx_inv;    // From qdp2 of lsu_qdp2.v
585
wire                    lsu_dfq_byp_ff_en;      // From qctl2 of lsu_qctl2.v
586
wire                    lsu_dfq_byp_flush;      // From qdp2 of lsu_qdp2.v
587
wire                    lsu_dfq_byp_invwy_vld;  // From qdp2 of lsu_qdp2.v
588
wire [1:0]              lsu_dfq_byp_stack_adr_b54;// From qdp2 of lsu_qdp2.v
589
wire [1:0]              lsu_dfq_byp_stack_wrway;// From qdp2 of lsu_qdp2.v
590
wire [1:0]              lsu_dfq_byp_tid;        // From qdp2 of lsu_qdp2.v
591
wire [3:0]              lsu_dfq_byp_tid_d1_sel; // From qctl1 of lsu_qctl1.v
592
wire [5:0]              lsu_dfq_byp_type;       // From qdp2 of lsu_qdp2.v
593
wire [3:0]              lsu_dfq_flsh_cmplt;     // From qctl2 of lsu_qctl2.v
594
wire                    lsu_dfq_ld_vld;         // From qctl2 of lsu_qctl2.v
595
wire                    lsu_dfq_ldst_vld;       // From qctl2 of lsu_qctl2.v
596
wire                    lsu_dfq_rd_vld_d1;      // From qctl2 of lsu_qctl2.v
597
wire                    lsu_dfq_st_vld;         // From qctl2 of lsu_qctl2.v
598
wire                    lsu_dfq_vld;            // From qctl2 of lsu_qctl2.v
599
wire                    lsu_diag_va_prty_invrt; // From dctldp of lsu_dctldp.v
600
wire [3:0]              lsu_diagnstc_data_sel;  // From dctl of lsu_dctl.v
601
wire [7:0]              lsu_diagnstc_dc_prty_invrt_e;// From dctldp of lsu_dctldp.v
602
wire                    lsu_diagnstc_dtagv_prty_invrt_e;// From dctl of lsu_dctl.v
603
wire [3:0]              lsu_diagnstc_va_sel;    // From dctl of lsu_dctl.v
604
wire [10:0]             lsu_diagnstc_wr_addr_e; // From dctldp of lsu_dctldp.v
605
wire                    lsu_diagnstc_wr_data_b0;// From qdp1 of lsu_qdp1.v
606
wire [63:0]             lsu_diagnstc_wr_data_e; // From qdp1 of lsu_qdp1.v
607
wire [1:0]              lsu_diagnstc_wr_way_e;  // From dctldp of lsu_dctldp.v
608
wire [5:0]              lsu_dp_ctl_reg0;        // From dctldp of lsu_dctldp.v
609
wire [5:0]              lsu_dp_ctl_reg1;        // From dctldp of lsu_dctldp.v
610
wire [5:0]              lsu_dp_ctl_reg2;        // From dctldp of lsu_dctldp.v
611
wire [5:0]              lsu_dp_ctl_reg3;        // From dctldp of lsu_dctldp.v
612
wire                    lsu_dtag_index_sel_x_e; // From dctl of lsu_dctl.v
613
wire [3:0]              lsu_dtag_rsel_m;        // From dctl of lsu_dctl.v
614
wire                    lsu_dtag_wrreq_x_e;     // From dctl of lsu_dctl.v
615
wire                    lsu_dtagv_wr_vld_e;     // From dctl of lsu_dctl.v
616
wire                    lsu_dtlb_addr_mask_l_e; // From dctl of lsu_dctl.v
617
wire                    lsu_dtlb_bypass_e;      // From dctl of lsu_dctl.v
618
wire [2:0]              lsu_dtlb_cam_pid_e;     // From dctldp of lsu_dctldp.v
619
wire                    lsu_dtlb_data_rd_e;     // From dctl of lsu_dctl.v
620
wire                    lsu_dtlb_dmp_all_e;     // From dctl of lsu_dctl.v
621
wire                    lsu_dtlb_dmp_vld_e;     // From dctl of lsu_dctl.v
622
wire                    lsu_dtlb_invalid_all_l_m;// From dctl of lsu_dctl.v
623
wire [7:0]              lsu_dtlb_mrgn;          // From dctldp of lsu_dctldp.v
624
wire                    lsu_dtlb_rwindex_vld_e; // From dctl of lsu_dctl.v
625
wire                    lsu_dtlb_tag_rd_e;      // From dctl of lsu_dctl.v
626
wire                    lsu_dtlb_wr_vld_e;      // From dctl of lsu_dctl.v
627
wire [1:0]              lsu_encd_way_hit;       // From dctl of lsu_dctl.v
628
wire [2:0]              lsu_err_addr_sel;       // From dctl of lsu_dctl.v
629
wire [28:0]             lsu_error_pa_m;         // From qdp1 of lsu_qdp1.v
630
wire [7:0]              lsu_excpctl_asi_state_m;// From dctldp of lsu_dctldp.v
631
wire                    lsu_fldd_vld_en;        // From qctl2 of lsu_qctl2.v
632
wire                    lsu_flsh_inst_m;        // From dctl of lsu_dctl.v
633
wire                    lsu_fwd_rply_sz1_unc;   // From qctl2 of lsu_qctl2.v
634
wire [4:0]              lsu_fwdpkt_dest;        // From qctl2 of lsu_qctl2.v
635
wire                    lsu_fwdpkt_pcx_rq_sel;  // From qctl1 of lsu_qctl1.v
636
wire                    lsu_fwdpkt_vld;         // From qctl2 of lsu_qctl2.v
637
wire                    lsu_ifu_asi_data_en_l;  // From dctl of lsu_dctl.v
638
wire                    lsu_imiss_pcx_rq_sel_d1;// From qctl1 of lsu_qctl1.v
639
wire [3:0]              lsu_intrpt_cmplt;       // From qctl1 of lsu_qctl1.v
640
wire                    lsu_iobrdge_fwd_pkt_vld;// From qctl2 of lsu_qctl2.v
641
wire [2:0]              lsu_iobrdge_rply_data_sel;// From qctl2 of lsu_qctl2.v
642
wire [8:0]              lsu_iobrdge_tap_rq_type;// From qdp2 of lsu_qdp2.v
643
wire [43:0]             lsu_iobrdge_wr_data;    // From qdp2 of lsu_qdp2.v
644
wire                    lsu_l2fill_bendian_m;   // From qdp1 of lsu_qdp1.v
645
wire [63:0]             lsu_l2fill_data;        // From qdp2 of lsu_qdp2.v
646
wire                    lsu_l2fill_fpld_e;      // From dctl of lsu_dctl.v
647
wire                    lsu_l2fill_sign_extend_m;// From qdp1 of lsu_qdp1.v
648
wire                    lsu_l2fill_vld;         // From qctl2 of lsu_qctl2.v
649
wire                    lsu_ld0_spec_vld_kill_w2;// From qctl1 of lsu_qctl1.v
650
wire                    lsu_ld1_spec_vld_kill_w2;// From qctl1 of lsu_qctl1.v
651
wire                    lsu_ld2_spec_vld_kill_w2;// From qctl1 of lsu_qctl1.v
652
wire                    lsu_ld3_spec_vld_kill_w2;// From qctl1 of lsu_qctl1.v
653
wire [3:0]              lsu_ld_inst_vld_g;      // From dctl of lsu_dctl.v
654
wire                    lsu_ld_miss_wb;         // From dctl of lsu_dctl.v
655
wire [3:0]              lsu_ld_pcx_rq_mxsel;    // From qctl1 of lsu_qctl1.v
656
wire [3:0]              lsu_ld_pcx_rq_sel_d2;   // From qctl1 of lsu_qctl1.v
657
wire [2:0]              lsu_ld_thrd_byp_sel_e;  // From dctl of lsu_dctl.v
658
wire                    lsu_ldquad_inst_m;      // From stb_rwctl of lsu_stb_rwctl.v
659
wire                    lsu_ldst_inst_vld_e;    // From dctl of lsu_dctl.v
660
wire [7:0]              lsu_ldst_va_g;          // From dctldp of lsu_dctldp.v
661
wire [12:0]             lsu_ldst_va_m;          // From dctldp of lsu_dctldp.v
662
wire [47:0]             lsu_ldst_va_m_buf;      // From dctldp of lsu_dctldp.v
663
wire [1:0]              lsu_ldst_va_way_g;      // From qdp1 of lsu_qdp1.v
664
wire                    lsu_ldstub_g;           // From dctl of lsu_dctl.v
665
wire [3:0]              lsu_lmq_byp_misc_sel;   // From qctl1 of lsu_qctl1.v
666
wire                    lsu_local_diagnstc_tagrd_sel_g;// From dctl of lsu_dctl.v
667
wire                    lsu_local_early_flush_g;// From excpctl of lsu_excpctl.v
668
wire                    lsu_local_ldxa_sel_g;   // From dctl of lsu_dctl.v
669
wire                    lsu_local_ldxa_tlbrd_sel_g;// From dctl of lsu_dctl.v
670
wire                    lsu_memref_m;           // From dctl of lsu_dctl.v
671
wire [63:0]             lsu_misc_rdata_w2;      // From tagdp of lsu_tagdp.v
672
wire [3:0]              lsu_no_spc_pref;        // From dctl of lsu_dctl.v
673
wire                    lsu_nonalt_nucl_access_m;// From dctl of lsu_dctl.v
674
wire [3:0]              lsu_outstanding_rmo_st_max;// From dctl of lsu_dctl.v
675
wire [107:0]            lsu_pcx_fwd_pkt;        // From qdp2 of lsu_qdp2.v
676
wire                    lsu_pcx_fwd_reply;      // From qctl2 of lsu_qctl2.v
677
wire                    lsu_pcx_ld_dtag_perror_w2;// From qctl1 of lsu_qctl1.v
678
wire                    lsu_pcx_req_squash0;    // From qctl1 of lsu_qctl1.v
679
wire                    lsu_pcx_req_squash1;    // From qctl1 of lsu_qctl1.v
680
wire                    lsu_pcx_req_squash2;    // From qctl1 of lsu_qctl1.v
681
wire                    lsu_pcx_req_squash3;    // From qctl1 of lsu_qctl1.v
682
wire                    lsu_pcx_req_squash_d1;  // From qctl1 of lsu_qctl1.v
683
wire                    lsu_pcx_rq_sz_b3;       // From qctl1 of lsu_qctl1.v
684
wire                    lsu_pref_pcx_req;       // From qdp1 of lsu_qdp1.v
685
wire                    lsu_qdp2_dfq_ld_vld;    // From qctl2 of lsu_qctl2.v
686
wire                    lsu_qdp2_dfq_st_vld;    // From qctl2 of lsu_qctl2.v
687
wire                    lsu_quad_asi_e;         // From dctl of lsu_dctl.v
688
wire                    lsu_quad_word_access_g; // From dctl of lsu_dctl.v
689
wire                    lsu_ramtest_rd_w;       // From qctl1 of lsu_qctl1.v
690
wire [3:0]              lsu_rd_dtag_parity_g;   // From tagdp of lsu_tagdp.v
691
wire                    lsu_snap_blk_st_m;      // From dctl of lsu_dctl.v
692
wire                    lsu_squash_va_oor_m;    // From dctl of lsu_dctl.v
693
wire [3:0]              lsu_st_ack_dq_stb;      // From qctl2 of lsu_qctl2.v
694
wire [1:0]              lsu_st_dcfill_size_e;   // From qdp2 of lsu_qdp2.v
695
wire [3:0]              lsu_st_dtlb_perr_g;     // From excpctl of lsu_excpctl.v
696
wire                    lsu_st_hw_le_g;         // From dctl of lsu_dctl.v
697
wire [3:0]              lsu_st_pcx_rq_kill_w2;  // From stb_ctl0 of lsu_stb_ctl.v, ...
698
wire [3:0]              lsu_st_pcx_rq_pick;     // From qctl1 of lsu_qctl1.v
699
wire                    lsu_st_pcx_rq_vld;      // From qctl1 of lsu_qctl1.v
700
wire                    lsu_st_rmo_m;           // From dctl of lsu_dctl.v
701
wire [2:1]              lsu_st_rq_type_m;       // From stb_rwctl of lsu_stb_rwctl.v
702
wire                    lsu_st_sz_b_m;          // From stb_rwctl of lsu_stb_rwctl.v
703
wire                    lsu_st_sz_bhw_m;        // From stb_rwctl of lsu_stb_rwctl.v
704
wire                    lsu_st_sz_bhww_m;       // From stb_rwctl of lsu_stb_rwctl.v
705
wire                    lsu_st_sz_dw_m;         // From stb_rwctl of lsu_stb_rwctl.v
706
wire                    lsu_st_sz_hw_m;         // From stb_rwctl of lsu_stb_rwctl.v
707
wire                    lsu_st_sz_hww_m;        // From stb_rwctl of lsu_stb_rwctl.v
708
wire                    lsu_st_sz_w_m;          // From stb_rwctl of lsu_stb_rwctl.v
709
wire                    lsu_st_sz_wdw_m;        // From stb_rwctl of lsu_stb_rwctl.v
710
wire                    lsu_st_w_or_dbl_le_g;   // From dctl of lsu_dctl.v
711
wire [1:0]              lsu_st_way_e;           // From qdp2 of lsu_qdp2.v
712
wire                    lsu_st_wr_dcache;       // From qctl2 of lsu_qctl2.v
713
wire                    lsu_st_x_le_g;          // From dctl of lsu_dctl.v
714
wire [3:0]              lsu_stb_data_early_sel_e;// From stb_rwctl of lsu_stb_rwctl.v
715
wire                    lsu_stb_data_final_sel_m;// From stb_rwctl of lsu_stb_rwctl.v
716
wire [3:0]              lsu_stb_empty;          // From stb_ctl0 of lsu_stb_ctl.v, ...
717
wire [3:0]              lsu_stb_empty_buf;      // From stb_rwctl of lsu_stb_rwctl.v
718
wire                    lsu_stb_pcx_rvld_d1;    // From qctl1 of lsu_qctl1.v
719
wire [1:0]              lsu_stb_rd_tid;         // From qctl1 of lsu_qctl1.v
720
wire [3:0]              lsu_stb_rmo_st_issue;   // From stb_ctl0 of lsu_stb_ctl.v, ...
721
wire [9:3]              lsu_stb_va_m;           // From stb_rwctl of lsu_stb_rwctl.v
722
wire [3:0]              lsu_stbcnt0;            // From stb_ctl0 of lsu_stb_ctl.v
723
wire [3:0]              lsu_stbcnt1;            // From stb_ctl1 of lsu_stb_ctl.v
724
wire [3:0]              lsu_stbcnt2;            // From stb_ctl2 of lsu_stb_ctl.v
725
wire [3:0]              lsu_stbcnt3;            // From stb_ctl3 of lsu_stb_ctl.v
726
wire                    lsu_stbctl_flush_pipe_w;// From stb_rwctl of lsu_stb_rwctl.v
727
wire                    lsu_swap_g;             // From dctl of lsu_dctl.v
728
wire                    lsu_swap_sel_default_byte_7_2_g;// From dctl of lsu_dctl.v
729
wire                    lsu_swap_sel_default_g; // From dctl of lsu_dctl.v
730
wire [3:0]              lsu_thread_g;           // From dctl of lsu_dctl.v
731
wire                    lsu_tlb_asi_data_perr_g;// From dctl of lsu_dctl.v
732
wire                    lsu_tlb_asi_tag_perr_g; // From dctl of lsu_dctl.v
733
wire                    lsu_tlb_data_rd_vld_g;  // From dctl of lsu_dctl.v
734
wire                    lsu_tlb_perr_ld_rq_kill_w;// From excpctl of lsu_excpctl.v
735
wire [63:0]             lsu_tlb_rd_data;        // From tlbdp of lsu_tlbdp.v
736
wire [3:0]              lsu_tlb_st_sel_m;       // From dctl of lsu_dctl.v
737
wire                    lsu_tlbop_force_swo;    // From dctl of lsu_dctl.v
738
wire [2:0]              lsu_tlu_ctxt_sel_m;     // From dctl of lsu_dctl.v
739
wire                    lsu_tlu_nonalt_ldst_m;  // From dctl of lsu_dctl.v
740
wire                    lsu_tlu_write_op_m;     // From dctl of lsu_dctl.v
741
wire                    lsu_tlu_xslating_ldst_m;// From dctl of lsu_dctl.v
742
wire                    lsu_ttype_vld_m2;       // From excpctl of lsu_excpctl.v
743
wire                    lsu_ttype_vld_m2_bf1;   // From excpctl of lsu_excpctl.v
744
wire                    lsu_va_match_b31_b3_m;  // From qdp1 of lsu_qdp1.v
745
wire                    lsu_va_match_b47_b32_m; // From qdp1 of lsu_qdp1.v
746
wire                    lsu_va_wtchpt0_wr_en_l; // From dctl of lsu_dctl.v
747
wire                    lsu_va_wtchpt1_wr_en_l; // From dctl of lsu_dctl.v
748
wire                    lsu_va_wtchpt2_wr_en_l; // From dctl of lsu_dctl.v
749
wire                    lsu_va_wtchpt3_wr_en_l; // From dctl of lsu_dctl.v
750
wire [47:3]             lsu_va_wtchpt_addr;     // From qdp1 of lsu_qdp1.v
751
wire                    lsu_va_wtchpt_sel_g;    // From dctl of lsu_dctl.v
752
wire                    lsu_way_hit_or;         // From dctl of lsu_dctl.v
753
wire [3:0]              lsuctl_ctlbits_wr_en;   // From dctl of lsu_dctl.v
754
wire                    merge0_sel_byte0_m;     // From dctl of lsu_dctl.v
755
wire                    merge0_sel_byte1_m;     // From dctl of lsu_dctl.v
756
wire                    merge0_sel_byte2_m;     // From dctl of lsu_dctl.v
757
wire                    merge0_sel_byte3_default_m;// From dctl of lsu_dctl.v
758
wire                    merge0_sel_byte4_m;     // From dctl of lsu_dctl.v
759
wire                    merge0_sel_byte5_m;     // From dctl of lsu_dctl.v
760
wire                    merge0_sel_byte6_m;     // From dctl of lsu_dctl.v
761
wire                    merge0_sel_byte7_default_m;// From dctl of lsu_dctl.v
762
wire                    merge0_sel_byte_1h_m;   // From dctl of lsu_dctl.v
763
wire                    merge1_sel_byte0_m;     // From dctl of lsu_dctl.v
764
wire                    merge1_sel_byte1_m;     // From dctl of lsu_dctl.v
765
wire                    merge1_sel_byte2_m;     // From dctl of lsu_dctl.v
766
wire                    merge1_sel_byte3_default_m;// From dctl of lsu_dctl.v
767
wire                    merge1_sel_byte4_m;     // From dctl of lsu_dctl.v
768
wire                    merge1_sel_byte5_m;     // From dctl of lsu_dctl.v
769
wire                    merge1_sel_byte6_m;     // From dctl of lsu_dctl.v
770
wire                    merge1_sel_byte7_default_m;// From dctl of lsu_dctl.v
771
wire                    merge1_sel_byte_1h_m;   // From dctl of lsu_dctl.v
772
wire                    merge1_sel_byte_2h_m;   // From dctl of lsu_dctl.v
773
wire                    merge2_sel_byte1_m;     // From dctl of lsu_dctl.v
774
wire                    merge2_sel_byte2_m;     // From dctl of lsu_dctl.v
775
wire                    merge2_sel_byte5_m;     // From dctl of lsu_dctl.v
776
wire                    merge2_sel_byte6_default_m;// From dctl of lsu_dctl.v
777
wire                    merge2_sel_byte_m;      // From dctl of lsu_dctl.v
778
wire                    merge3_sel_byte0_m;     // From dctl of lsu_dctl.v
779
wire                    merge3_sel_byte3_m;     // From dctl of lsu_dctl.v
780
wire                    merge3_sel_byte4_m;     // From dctl of lsu_dctl.v
781
wire                    merge3_sel_byte7_default_m;// From dctl of lsu_dctl.v
782
wire                    merge3_sel_byte_m;      // From dctl of lsu_dctl.v
783
wire                    merge4_sel_byte3_m;     // From dctl of lsu_dctl.v
784
wire                    merge4_sel_byte4_m;     // From dctl of lsu_dctl.v
785
wire                    merge5_sel_byte2_m;     // From dctl of lsu_dctl.v
786
wire                    merge5_sel_byte5_m;     // From dctl of lsu_dctl.v
787
wire                    merge6_sel_byte1_m;     // From dctl of lsu_dctl.v
788
wire                    merge6_sel_byte6_m;     // From dctl of lsu_dctl.v
789
wire                    merge7_sel_byte0_m;     // From dctl of lsu_dctl.v
790
wire                    merge7_sel_byte7_m;     // From dctl of lsu_dctl.v
791
wire [3:0]              misc_ctl_sel_din;       // From dctl of lsu_dctl.v
792
wire                    mmu_rd_only_asi_m;      // From dctl of lsu_dctl.v
793
wire [7:0]              morphed_addr_m;         // From dctl of lsu_dctl.v
794
wire                    mrgn_tap_wr_en;         // From dctl of lsu_dctl.v
795
wire                    mrgnctl_wr_en;          // From dctl of lsu_dctl.v
796
wire                    nofault_asi_m;          // From dctl of lsu_dctl.v
797
wire [3:0]              pctxt_state_wr_thrd;    // From dctl of lsu_dctl.v
798
wire [3:0]              pcx_pkt_src_sel;        // From qctl1 of lsu_qctl1.v
799
wire [3:0]              pcx_rq_for_stb;         // From qctl1 of lsu_qctl1.v
800
wire [3:0]              pcx_rq_for_stb_d1;      // From qctl1 of lsu_qctl1.v
801
wire                    phy_byp_ec_asi_m;       // From dctl of lsu_dctl.v
802
wire                    phy_use_ec_asi_m;       // From dctl of lsu_dctl.v
803
wire [3:0]              pid_state_wr_en;        // From dctl of lsu_dctl.v
804
wire                    quad_asi_m;             // From dctl of lsu_dctl.v
805
wire                    rd_only_asi_m;          // From dctl of lsu_dctl.v
806
wire                    rd_only_ltlb_asi_e;     // From dctl of lsu_dctl.v
807
wire                    recognized_asi_m;       // From dctl of lsu_dctl.v
808
wire [3:0]              sctxt_state_wr_thrd;    // From dctl of lsu_dctl.v
809
wire                    signed_ldst_byte_m;     // From dctl of lsu_dctl.v
810
wire                    signed_ldst_hw_m;       // From dctl of lsu_dctl.v
811
wire                    signed_ldst_w_m;        // From dctl of lsu_dctl.v
812
wire [10:0]             st_dcfill_addr;         // From qdp2 of lsu_qdp2.v
813
wire [63:0]             st_rs3_data_g;          // From qdp1 of lsu_qdp1.v
814
wire                    sta_internal_m;         // From dctl of lsu_dctl.v
815
wire [2:1]              stb0_atm_rq_type;       // From stb_ctl0 of lsu_stb_ctl.v
816
wire [7:0]              stb0_clk_en_l;          // From stb_ctl0 of lsu_stb_ctl.v
817
wire [2:0]              stb0_crnt_ack_id;       // From stb_ctl0 of lsu_stb_ctl.v
818
wire [2:0]              stb0_l2b_addr;          // From stb_ctl0 of lsu_stb_ctl.v
819
wire [7:0]              stb0_state_rmo;         // From stb_ctldp0 of lsu_stb_ctldp.v
820
wire [2:1]              stb0_state_rtype_0;     // From stb_ctldp0 of lsu_stb_ctldp.v
821
wire [2:1]              stb0_state_rtype_1;     // From stb_ctldp0 of lsu_stb_ctldp.v
822
wire [2:1]              stb0_state_rtype_2;     // From stb_ctldp0 of lsu_stb_ctldp.v
823
wire [2:1]              stb0_state_rtype_3;     // From stb_ctldp0 of lsu_stb_ctldp.v
824
wire [2:1]              stb0_state_rtype_4;     // From stb_ctldp0 of lsu_stb_ctldp.v
825
wire [2:1]              stb0_state_rtype_5;     // From stb_ctldp0 of lsu_stb_ctldp.v
826
wire [2:1]              stb0_state_rtype_6;     // From stb_ctldp0 of lsu_stb_ctldp.v
827
wire [2:1]              stb0_state_rtype_7;     // From stb_ctldp0 of lsu_stb_ctldp.v
828
wire [3:2]              stb0_state_si_0;        // From stb_ctldp0 of lsu_stb_ctldp.v
829
wire [3:2]              stb0_state_si_1;        // From stb_ctldp0 of lsu_stb_ctldp.v
830
wire [3:2]              stb0_state_si_2;        // From stb_ctldp0 of lsu_stb_ctldp.v
831
wire [3:2]              stb0_state_si_3;        // From stb_ctldp0 of lsu_stb_ctldp.v
832
wire [3:2]              stb0_state_si_4;        // From stb_ctldp0 of lsu_stb_ctldp.v
833
wire [3:2]              stb0_state_si_5;        // From stb_ctldp0 of lsu_stb_ctldp.v
834
wire [3:2]              stb0_state_si_6;        // From stb_ctldp0 of lsu_stb_ctldp.v
835
wire [3:2]              stb0_state_si_7;        // From stb_ctldp0 of lsu_stb_ctldp.v
836
wire [2:1]              stb1_atm_rq_type;       // From stb_ctl1 of lsu_stb_ctl.v
837
wire [7:0]              stb1_clk_en_l;          // From stb_ctl1 of lsu_stb_ctl.v
838
wire [2:0]              stb1_crnt_ack_id;       // From stb_ctl1 of lsu_stb_ctl.v
839
wire [2:0]              stb1_l2b_addr;          // From stb_ctl1 of lsu_stb_ctl.v
840
wire [7:0]              stb1_state_rmo;         // From stb_ctldp1 of lsu_stb_ctldp.v
841
wire [2:1]              stb1_state_rtype_0;     // From stb_ctldp1 of lsu_stb_ctldp.v
842
wire [2:1]              stb1_state_rtype_1;     // From stb_ctldp1 of lsu_stb_ctldp.v
843
wire [2:1]              stb1_state_rtype_2;     // From stb_ctldp1 of lsu_stb_ctldp.v
844
wire [2:1]              stb1_state_rtype_3;     // From stb_ctldp1 of lsu_stb_ctldp.v
845
wire [2:1]              stb1_state_rtype_4;     // From stb_ctldp1 of lsu_stb_ctldp.v
846
wire [2:1]              stb1_state_rtype_5;     // From stb_ctldp1 of lsu_stb_ctldp.v
847
wire [2:1]              stb1_state_rtype_6;     // From stb_ctldp1 of lsu_stb_ctldp.v
848
wire [2:1]              stb1_state_rtype_7;     // From stb_ctldp1 of lsu_stb_ctldp.v
849
wire [3:2]              stb1_state_si_0;        // From stb_ctldp1 of lsu_stb_ctldp.v
850
wire [3:2]              stb1_state_si_1;        // From stb_ctldp1 of lsu_stb_ctldp.v
851
wire [3:2]              stb1_state_si_2;        // From stb_ctldp1 of lsu_stb_ctldp.v
852
wire [3:2]              stb1_state_si_3;        // From stb_ctldp1 of lsu_stb_ctldp.v
853
wire [3:2]              stb1_state_si_4;        // From stb_ctldp1 of lsu_stb_ctldp.v
854
wire [3:2]              stb1_state_si_5;        // From stb_ctldp1 of lsu_stb_ctldp.v
855
wire [3:2]              stb1_state_si_6;        // From stb_ctldp1 of lsu_stb_ctldp.v
856
wire [3:2]              stb1_state_si_7;        // From stb_ctldp1 of lsu_stb_ctldp.v
857
wire [2:1]              stb2_atm_rq_type;       // From stb_ctl2 of lsu_stb_ctl.v
858
wire [7:0]              stb2_clk_en_l;          // From stb_ctl2 of lsu_stb_ctl.v
859
wire [2:0]              stb2_crnt_ack_id;       // From stb_ctl2 of lsu_stb_ctl.v
860
wire [2:0]              stb2_l2b_addr;          // From stb_ctl2 of lsu_stb_ctl.v
861
wire [7:0]              stb2_state_rmo;         // From stb_ctldp2 of lsu_stb_ctldp.v
862
wire [2:1]              stb2_state_rtype_0;     // From stb_ctldp2 of lsu_stb_ctldp.v
863
wire [2:1]              stb2_state_rtype_1;     // From stb_ctldp2 of lsu_stb_ctldp.v
864
wire [2:1]              stb2_state_rtype_2;     // From stb_ctldp2 of lsu_stb_ctldp.v
865
wire [2:1]              stb2_state_rtype_3;     // From stb_ctldp2 of lsu_stb_ctldp.v
866
wire [2:1]              stb2_state_rtype_4;     // From stb_ctldp2 of lsu_stb_ctldp.v
867
wire [2:1]              stb2_state_rtype_5;     // From stb_ctldp2 of lsu_stb_ctldp.v
868
wire [2:1]              stb2_state_rtype_6;     // From stb_ctldp2 of lsu_stb_ctldp.v
869
wire [2:1]              stb2_state_rtype_7;     // From stb_ctldp2 of lsu_stb_ctldp.v
870
wire [3:2]              stb2_state_si_0;        // From stb_ctldp2 of lsu_stb_ctldp.v
871
wire [3:2]              stb2_state_si_1;        // From stb_ctldp2 of lsu_stb_ctldp.v
872
wire [3:2]              stb2_state_si_2;        // From stb_ctldp2 of lsu_stb_ctldp.v
873
wire [3:2]              stb2_state_si_3;        // From stb_ctldp2 of lsu_stb_ctldp.v
874
wire [3:2]              stb2_state_si_4;        // From stb_ctldp2 of lsu_stb_ctldp.v
875
wire [3:2]              stb2_state_si_5;        // From stb_ctldp2 of lsu_stb_ctldp.v
876
wire [3:2]              stb2_state_si_6;        // From stb_ctldp2 of lsu_stb_ctldp.v
877
wire [3:2]              stb2_state_si_7;        // From stb_ctldp2 of lsu_stb_ctldp.v
878
wire [2:1]              stb3_atm_rq_type;       // From stb_ctl3 of lsu_stb_ctl.v
879
wire [7:0]              stb3_clk_en_l;          // From stb_ctl3 of lsu_stb_ctl.v
880
wire [2:0]              stb3_crnt_ack_id;       // From stb_ctl3 of lsu_stb_ctl.v
881
wire [2:0]              stb3_l2b_addr;          // From stb_ctl3 of lsu_stb_ctl.v
882
wire [7:0]              stb3_state_rmo;         // From stb_ctldp3 of lsu_stb_ctldp.v
883
wire [2:1]              stb3_state_rtype_0;     // From stb_ctldp3 of lsu_stb_ctldp.v
884
wire [2:1]              stb3_state_rtype_1;     // From stb_ctldp3 of lsu_stb_ctldp.v
885
wire [2:1]              stb3_state_rtype_2;     // From stb_ctldp3 of lsu_stb_ctldp.v
886
wire [2:1]              stb3_state_rtype_3;     // From stb_ctldp3 of lsu_stb_ctldp.v
887
wire [2:1]              stb3_state_rtype_4;     // From stb_ctldp3 of lsu_stb_ctldp.v
888
wire [2:1]              stb3_state_rtype_5;     // From stb_ctldp3 of lsu_stb_ctldp.v
889
wire [2:1]              stb3_state_rtype_6;     // From stb_ctldp3 of lsu_stb_ctldp.v
890
wire [2:1]              stb3_state_rtype_7;     // From stb_ctldp3 of lsu_stb_ctldp.v
891
wire [3:2]              stb3_state_si_0;        // From stb_ctldp3 of lsu_stb_ctldp.v
892
wire [3:2]              stb3_state_si_1;        // From stb_ctldp3 of lsu_stb_ctldp.v
893
wire [3:2]              stb3_state_si_2;        // From stb_ctldp3 of lsu_stb_ctldp.v
894
wire [3:2]              stb3_state_si_3;        // From stb_ctldp3 of lsu_stb_ctldp.v
895
wire [3:2]              stb3_state_si_4;        // From stb_ctldp3 of lsu_stb_ctldp.v
896
wire [3:2]              stb3_state_si_5;        // From stb_ctldp3 of lsu_stb_ctldp.v
897
wire [3:2]              stb3_state_si_6;        // From stb_ctldp3 of lsu_stb_ctldp.v
898
wire [3:2]              stb3_state_si_7;        // From stb_ctldp3 of lsu_stb_ctldp.v
899
wire [1:0]              stb_cam_cm_tid;         // From stb_rwctl of lsu_stb_rwctl.v
900
wire                    stb_cam_hit;            // From stb_cam of bw_r_scm.v
901
wire                    stb_cam_hit_bf;         // From excpctl of lsu_excpctl.v
902
wire                    stb_cam_hit_bf1;        // From excpctl of lsu_excpctl.v
903
wire [2:0]              stb_cam_hit_ptr;        // From stb_cam of bw_r_scm.v
904
wire                    stb_cam_mhit;           // From stb_cam of bw_r_scm.v
905
wire                    stb_cam_rptr_vld;       // From stb_rwctl of lsu_stb_rwctl.v
906
wire [4:0]              stb_cam_rw_ptr;         // From stb_rwctl of lsu_stb_rwctl.v
907
wire [7:0]              stb_cam_sqsh_msk;       // From excpctl of lsu_excpctl.v
908
wire                    stb_cam_vld;            // From tlbdp of lsu_tlbdp.v
909
wire                    stb_cam_wptr_vld;       // From stb_rwctl of lsu_stb_rwctl.v
910
wire                    stb_cam_wr_no_ivld_m;   // From stb_rwctl of lsu_stb_rwctl.v
911
wire [3:0]              stb_cam_wvld_m;         // From stb_rwctl of lsu_stb_rwctl.v
912
wire [4:0]              stb_data_rd_ptr;        // From stb_rwctl of lsu_stb_rwctl.v
913
wire                    stb_data_rptr_vld;      // From stb_rwctl of lsu_stb_rwctl.v
914
wire                    stb_data_wptr_vld;      // From stb_rwctl of lsu_stb_rwctl.v
915
wire [4:0]              stb_data_wr_ptr;        // From stb_rwctl of lsu_stb_rwctl.v
916
wire [3:0]              stb_flush_st_g;         // From stb_rwctl of lsu_stb_rwctl.v
917
wire [7:0]              stb_ld_full_raw;        // From stb_cam of bw_r_scm.v
918
wire [7:0]              stb_ld_partial_raw;     // From stb_cam of bw_r_scm.v
919
wire [7:0]              stb_ldst_byte_msk;      // From stb_rwctl of lsu_stb_rwctl.v
920
wire [2:0]              stb_pcx_rptr0;          // From stb_ctl0 of lsu_stb_ctl.v
921
wire [2:0]              stb_pcx_rptr1;          // From stb_ctl1 of lsu_stb_ctl.v
922
wire [2:0]              stb_pcx_rptr2;          // From stb_ctl2 of lsu_stb_ctl.v
923
wire [2:0]              stb_pcx_rptr3;          // From stb_ctl3 of lsu_stb_ctl.v
924
wire [3:0]              stb_rd_for_pcx;         // From stb_ctl0 of lsu_stb_ctl.v, ...
925
wire [44:0]             stb_rdata_ramc;         // From stb_cam of bw_r_scm.v
926
wire [14:9]             stb_rdata_ramc_buf;     // From dcdp of lsu_dcdp.v
927
wire                    stb_rdata_ramd_b74_buf; // From stb_rwdp of lsu_stb_rwdp.v
928
wire [69:0]             stb_rdata_ramd_buf;     // From stb_rwdp of lsu_stb_rwdp.v
929
wire [7:0]              stb_state_ced0;         // From stb_ctl0 of lsu_stb_ctl.v
930
wire [7:0]              stb_state_ced1;         // From stb_ctl1 of lsu_stb_ctl.v
931
wire [7:0]              stb_state_ced2;         // From stb_ctl2 of lsu_stb_ctl.v
932
wire [7:0]              stb_state_ced3;         // From stb_ctl3 of lsu_stb_ctl.v
933
wire [7:0]              stb_state_vld0;         // From stb_ctl0 of lsu_stb_ctl.v
934
wire [7:0]              stb_state_vld1;         // From stb_ctl1 of lsu_stb_ctl.v
935
wire [7:0]              stb_state_vld2;         // From stb_ctl2 of lsu_stb_ctl.v
936
wire [7:0]              stb_state_vld3;         // From stb_ctl3 of lsu_stb_ctl.v
937
wire [3:0]              stb_thrd_en_g;          // From stb_rwctl of lsu_stb_rwctl.v
938
wire [2:0]              stb_wrptr0;             // From stb_ctl0 of lsu_stb_ctl.v
939
wire [2:0]              stb_wrptr0_prev;        // From stb_ctl0 of lsu_stb_ctl.v
940
wire [2:0]              stb_wrptr1;             // From stb_ctl1 of lsu_stb_ctl.v
941
wire [2:0]              stb_wrptr1_prev;        // From stb_ctl1 of lsu_stb_ctl.v
942
wire [2:0]              stb_wrptr2;             // From stb_ctl2 of lsu_stb_ctl.v
943
wire [2:0]              stb_wrptr2_prev;        // From stb_ctl2 of lsu_stb_ctl.v
944
wire [2:0]              stb_wrptr3;             // From stb_ctl3 of lsu_stb_ctl.v
945
wire [2:0]              stb_wrptr3_prev;        // From stb_ctl3 of lsu_stb_ctl.v
946
wire                    strm_asi_m;             // From dctl of lsu_dctl.v
947
wire                    tag_rd_vld_g;           // From dctl of lsu_dctl.v
948
wire                    thread0_ctxt;           // From dctl of lsu_dctl.v
949
wire                    thread0_d;              // From dctl of lsu_dctl.v
950
wire                    thread0_e;              // From dctl of lsu_dctl.v
951
wire                    thread0_g;              // From dctl of lsu_dctl.v
952
wire                    thread0_m;              // From dctl of lsu_dctl.v
953
wire                    thread1_ctxt;           // From dctl of lsu_dctl.v
954
wire                    thread1_d;              // From dctl of lsu_dctl.v
955
wire                    thread1_e;              // From dctl of lsu_dctl.v
956
wire                    thread1_g;              // From dctl of lsu_dctl.v
957
wire                    thread1_m;              // From dctl of lsu_dctl.v
958
wire                    thread2_ctxt;           // From dctl of lsu_dctl.v
959
wire                    thread2_d;              // From dctl of lsu_dctl.v
960
wire                    thread2_e;              // From dctl of lsu_dctl.v
961
wire                    thread2_g;              // From dctl of lsu_dctl.v
962
wire                    thread2_m;              // From dctl of lsu_dctl.v
963
wire                    thread3_ctxt;           // From dctl of lsu_dctl.v
964
wire                    thread3_d;              // From dctl of lsu_dctl.v
965
wire                    thread3_e;              // From dctl of lsu_dctl.v
966
wire                    thread3_g;              // From dctl of lsu_dctl.v
967
wire                    thread3_m;              // From dctl of lsu_dctl.v
968
wire                    thread_actxt;           // From dctl of lsu_dctl.v
969
wire                    thread_default;         // From dctl of lsu_dctl.v
970
wire                    thread_pctxt;           // From dctl of lsu_dctl.v
971
wire                    thread_sctxt;           // From dctl of lsu_dctl.v
972
wire                    tlb_access_en0_g;       // From dctl of lsu_dctl.v
973
wire                    tlb_access_en1_g;       // From dctl of lsu_dctl.v
974
wire                    tlb_access_en2_g;       // From dctl of lsu_dctl.v
975
wire                    tlb_access_en3_g;       // From dctl of lsu_dctl.v
976
wire                    tlb_access_sel_default; // From dctl of lsu_dctl.v
977
wire                    tlb_access_sel_thrd0;   // From dctl of lsu_dctl.v
978
wire                    tlb_access_sel_thrd1;   // From dctl of lsu_dctl.v
979
wire                    tlb_access_sel_thrd2;   // From dctl of lsu_dctl.v
980
wire                    tlb_cam_hit;            // From dtlb of bw_r_tlb.v
981
wire                    tlb_cam_hit_g;          // From dctl of lsu_dctl.v
982
wire [12:0]             tlb_ctxt;               // From dctldp of lsu_dctldp.v
983
wire                    tlb_ldst_cam_vld;       // From dctl of lsu_dctl.v
984
wire [39:10]            tlb_pgnum;              // From dtlb of bw_r_tlb.v
985
wire [39:10]            tlb_pgnum_buf;          // From tlbdp of lsu_tlbdp.v
986
wire [39:37]            tlb_pgnum_buf2;         // From tlbdp of lsu_tlbdp.v
987
wire [39:10]            tlb_pgnum_crit;         // From dtlb of bw_r_tlb.v
988
wire [42:0]             tlb_rd_tte_data;        // From dtlb of bw_r_tlb.v
989
wire                    tlb_rd_tte_data_ie_buf; // From tlbdp of lsu_tlbdp.v
990
wire [58:0]             tlb_rd_tte_tag;         // From dtlb of bw_r_tlb.v
991
wire                    tlu_lsu_asi_update_g;   // From dctl of lsu_dctl.v
992
wire                    tte_data_parity_error;  // From tlbdp of lsu_tlbdp.v
993
wire                    tte_data_perror_unc;    // From excpctl of lsu_excpctl.v
994
wire                    tte_tag_parity_error;   // From tlbdp of lsu_tlbdp.v
995
wire                    unimp_asi_m;            // From dctl of lsu_dctl.v
996
wire                    va_wtchpt_cmp_en_m;     // From dctl of lsu_dctl.v
997
wire                    va_wtchpt_msk_match_m;  // From dctldp of lsu_dctldp.v
998
wire                    wr_only_asi_m;          // From dctl of lsu_dctl.v
999
wire                    wr_only_ltlb_asi_e;     // From dctl of lsu_dctl.v
1000 113 albert.wat
`ifdef SIMPLY_RISC_TWEAKS
1001
wire lsu_dtlb_cam_real_e;
1002
`endif
1003 95 fafa1971
// End of automatics
1004
 
1005
 
1006
//split bus. emacs cannot handle
1007 113 albert.wat
input [`CPX_WIDTH-1:0]  cpx_spc_data_cx;   // cpx to processor pkt  
1008
input [`PCX_WIDTH-1:0]  spu_lsu_ldst_pckt;
1009 95 fafa1971
input [47:0]            exu_lsu_ldst_va_e;  // VA for mem-ref (src-execute) 
1010
input [10:3]            exu_lsu_early_va_e;  // early partial VA for lookup
1011
input   [80:0]           ffu_lsu_data ;
1012
 
1013
 
1014
output [7:0]            lsu_asi_state;
1015
output [47:4]           lsu_ifu_err_addr;
1016
output [15:0]            lsu_sscan_data ;        // fragmented across dbbs
1017
output                  ifu_tlu_inst_vld_m_bf1;
1018
output [2:0]             lsu_ffu_bld_cnt_w ;
1019
 
1020
wire [47:0]  lsu_local_ldxa_data_g;
1021
wire [43:0]  lsu_iobrdge_rd_data;
1022
wire [79:0]  stb_rdata_ramd;
1023
wire [75:64]  stb_wdata_ramd_b75_b64;
1024
wire [63:0]   lsu_stb_st_data_g;
1025
 
1026
wire [151:0] dfq_rdata;
1027
wire [151:0] dfq_wdata;
1028
wire         lsu_cpx_stack_icfill_vld;
1029
wire [29:0]  dtag_wdata_m;
1030
   wire      lsu_cpx_stack_dcfill_vld_b130;
1031
   wire [7:0] stb_ldst_byte_msk_min;
1032
 
1033
// scan chain
1034
input                   si0,si1,short_si1,short_si0;
1035
output                  so0, so1,short_so0,short_so1;
1036
   wire     short_scan1_1;
1037
   wire     short_scan1_2;
1038
   wire     short_scan1_3;
1039
   wire     short_scan1_4;
1040
   wire     short_scan1_5;
1041
   wire     short_scan1_6;
1042
   wire     short_scan1_7;
1043
   wire     short_scan1_8;
1044
 
1045
   wire     short_scan0_1;
1046
   wire     short_scan0_2;
1047
   wire     short_scan0_3;
1048
   wire     short_scan0_4;
1049
   wire     short_scan0_5;
1050
   wire     short_scan0_6;
1051
   wire     short_scan0_7;
1052
   wire     short_scan0_8;
1053
   wire     short_scan0_9;
1054
 
1055
   wire     scan1_1;
1056
   wire     scan1_2;
1057
   wire     scan1_3;
1058
   wire     scan1_4;
1059
 
1060
   wire     scan0_1;
1061
   wire     scan0_2;
1062
 
1063
/*defined input*/
1064
 
1065
input [7:0]            exu_tlu_wsr_data_m;
1066
 
1067
/*defined output*/
1068
 
1069
output                  lsu_tlu_nucleus_ctxt_m ;// access is nucleus context //??no driver
1070
output  [2:0]           lsu_tlu_tte_pg_sz_g ;   // page-size of tte //??no driver
1071
 
1072
 
1073
// dsfsr support moved from tlu_tcl to lsu_excpctl ; becomes wire
1074
// !! first check if needed by iside in tlu_tcl, or mmu_ctl !!!
1075
//output                        lsu_tlu_nonalt_ldst_m;  // From dctl of lsu_dctl.v
1076
output                  lsu_tlu_squash_va_oor_m;// From dctl of lsu_dctl.v
1077
output                  lsu_tlu_wtchpt_trp_g;   // From excpctl of lsu_excpctl.v
1078
//output                        lsu_tlu_priv_violtn_g;  // From excpctl of lsu_excpctl.v
1079
output                  lsu_tlu_daccess_prot_g; // From excpctl of lsu_excpctl.v
1080
output                  lsu_tlu_priv_action_g;  // From excpctl of lsu_excpctl.v
1081
// To accommodate 1Thread design
1082
wire [3:0]               lsu_tlu_stb_full_w2_t;  // To accommodate 1T design   
1083
   wire [7:0]            lsu_asi_reg0_t;
1084
   wire [7:0]            lsu_asi_reg1_t;
1085
   wire [7:0]            lsu_asi_reg2_t;
1086
   wire [7:0]            lsu_asi_reg3_t;
1087
   wire [12:0]           lsu_t0_pctxt_state_t;
1088
   wire [12:0]           lsu_t1_pctxt_state_t;
1089
   wire [12:0]           lsu_t2_pctxt_state_t;
1090
   wire [12:0]           lsu_t3_pctxt_state_t;
1091
   wire [2:0]            lsu_pid_state0_t;
1092
   wire [2:0]            lsu_pid_state1_t;
1093
   wire [2:0]            lsu_pid_state2_t;
1094
   wire [2:0]            lsu_pid_state3_t;
1095
 
1096 113 albert.wat
`ifdef FPGA_SYN_1THREAD
1097
   assign               lsu_tlu_stb_full_w2[3:0] = {3'b000, lsu_tlu_stb_full_w2_t[0]};
1098
   assign               lsu_asi_reg0[7:0] = lsu_asi_reg0_t[7:0];
1099
   assign               lsu_asi_reg1[7:0] = 7'b0000000;
1100
   assign               lsu_asi_reg2[7:0] = 7'b0000000;
1101
   assign               lsu_asi_reg3[7:0] = 7'b0000000;
1102
   assign               lsu_t0_pctxt_state[12:0] = lsu_t0_pctxt_state_t[12:0];
1103
   assign               lsu_t1_pctxt_state[12:0] = 13'b0000000000000;
1104
   assign               lsu_t2_pctxt_state[12:0] = 13'b0000000000000;
1105
   assign               lsu_t3_pctxt_state[12:0] = 13'b0000000000000;
1106
   assign               lsu_pid_state0[2:0] = lsu_pid_state0_t[2:0];
1107
   assign               lsu_pid_state1[2:0] = 2'b00;
1108
   assign               lsu_pid_state2[2:0] = 2'b00;
1109
   assign               lsu_pid_state3[2:0] = 2'b00;
1110
 
1111
`else
1112 95 fafa1971
   assign               lsu_tlu_stb_full_w2[3:0] = lsu_tlu_stb_full_w2_t[3:0];
1113
   assign               lsu_asi_reg0[7:0] = lsu_asi_reg0_t[7:0];
1114
   assign               lsu_asi_reg1[7:0] = lsu_asi_reg1_t[7:0];
1115
   assign               lsu_asi_reg2[7:0] = lsu_asi_reg2_t[7:0];
1116
   assign               lsu_asi_reg3[7:0] = lsu_asi_reg3_t[7:0];
1117
   assign               lsu_t0_pctxt_state[12:0] = lsu_t0_pctxt_state_t[12:0];
1118
   assign               lsu_t1_pctxt_state[12:0] = lsu_t1_pctxt_state_t[12:0];
1119
   assign               lsu_t2_pctxt_state[12:0] = lsu_t2_pctxt_state_t[12:0];
1120
   assign               lsu_t3_pctxt_state[12:0] = lsu_t3_pctxt_state_t[12:0];
1121
   assign               lsu_pid_state0[2:0] = lsu_pid_state0_t[2:0];
1122
   assign               lsu_pid_state1[2:0] = lsu_pid_state1_t[2:0];
1123
   assign               lsu_pid_state2[2:0] = lsu_pid_state2_t[2:0];
1124
   assign               lsu_pid_state3[2:0] = lsu_pid_state3_t[2:0];
1125
 
1126 113 albert.wat
`endif
1127 95 fafa1971
 
1128
 
1129
/* lsu_qctl1 AUTO_TEMPLATE (
1130
                .grst_l                 (gdbginit_l),
1131
                .rst_tri_en             (mux_drive_disable),
1132
                .lsu_ttype_vld_m2       (lsu_ttype_vld_m2_bf1),
1133
                .lsu_ldst_va_m          (lsu_ldst_va_m_buf[7:6]),
1134
                .ifu_lsu_pcxpkt_e_b50   (ifu_lsu_pcxpkt_e[50]),
1135
                .rclk                   (clk),
1136
                .lsu_sscan_data         (lsu_sscan_data[12:0]),
1137
                .ld_inst_vld_e          (ifu_lsu_ld_inst_e),
1138
                .lsu_ld_miss_g          (lsu_ld_miss_wb),
1139
                .spu_lsu_ldst_pckt_vld  (spu_lsu_ldst_pckt[`PCX_VLD]),
1140
                .lsu_stb_empty          (lsu_stb_empty_buf[3:0]),
1141
                .tlb_pgnum_g            (tlb_pgnum_buf[39:37]),
1142
                .tlu_lsu_pcxpkt_l2baddr (tlu_lsu_pcxpkt[11:10]),
1143
                .tlu_lsu_pcxpkt_tid     (tlu_lsu_pcxpkt[19:18]),
1144
                .tlu_lsu_pcxpkt_vld     (tlu_lsu_pcxpkt[25]),
1145
                .ld_thrd_byp_sel_e      (lsu_ld_thrd_byp_sel_e[2:0]));
1146
*/
1147
 
1148
 
1149 113 albert.wat
`ifdef FPGA_SYN_1THREAD
1150
 
1151
lsu_qctl1 qctl1  (
1152
                  .so                   (short_scan1_1),
1153
                  .si                   (short_si1),
1154
                  /*AUTOINST*/
1155
                  // Outputs
1156
                  .lsu_bld_helper_cmplt_m(lsu_bld_helper_cmplt_m),
1157
                  .lsu_bld_cnt_m        (lsu_bld_cnt_m[2:0]),
1158
                  .lsu_bld_reset        (lsu_bld_reset),
1159
                  .lsu_pcx_rq_sz_b3     (lsu_pcx_rq_sz_b3),
1160
                  .lsu_ramtest_rd_w     (lsu_ramtest_rd_w),
1161
                  .ld_stb_full_raw_w2   (ld_stb_full_raw_w2),
1162
                  .lsu_ld_pcx_rq_sel_d2 (lsu_ld_pcx_rq_sel_d2[3:0]),
1163
                  .spc_pcx_req_pq       (spc_pcx_req_pq[4:0]),
1164
                  .spc_pcx_atom_pq      (spc_pcx_atom_pq),
1165
                  .lsu_ifu_pcxpkt_ack_d (lsu_ifu_pcxpkt_ack_d),
1166
                  .pcx_pkt_src_sel      (pcx_pkt_src_sel[3:0]),
1167
                  .lmq_enable           (lmq_enable[3:0]),
1168
                  .imiss_pcx_mx_sel     (imiss_pcx_mx_sel),
1169
                  .fwd_int_fp_pcx_mx_sel(fwd_int_fp_pcx_mx_sel[2:0]),
1170
                  .lsu_ffu_bld_cnt_w    (lsu_ffu_bld_cnt_w[2:0]),
1171
                  .lsu_ld_pcx_rq_mxsel  (lsu_ld_pcx_rq_mxsel[3:0]),
1172
                  .ld_pcx_thrd          (ld_pcx_thrd[1:0]),
1173
                  .lsu_spu_ldst_ack     (lsu_spu_ldst_ack),
1174
                  .pcx_rq_for_stb       (pcx_rq_for_stb[3:0]),
1175
                  .pcx_rq_for_stb_d1    (pcx_rq_for_stb_d1[3:0]),
1176
                  .lsu_ffu_ack          (lsu_ffu_ack),
1177
                  .lsu_ifu_ld_pcxpkt_vld(lsu_ifu_ld_pcxpkt_vld),
1178
                  .lsu_pcx_req_squash0  (lsu_pcx_req_squash0),
1179
                  .lsu_pcx_req_squash1  (lsu_pcx_req_squash1),
1180
                  .lsu_pcx_req_squash2  (lsu_pcx_req_squash2),
1181
                  .lsu_pcx_req_squash3  (lsu_pcx_req_squash3),
1182
                  .lsu_pcx_req_squash_d1(lsu_pcx_req_squash_d1),
1183
                  .lsu_pcx_ld_dtag_perror_w2(lsu_pcx_ld_dtag_perror_w2),
1184
                  .lsu_tlu_dcache_miss_w2(lsu_tlu_dcache_miss_w2[3:0]),
1185
                  .lsu_bld_pcx_rq       (lsu_bld_pcx_rq),
1186
                  .lsu_bld_rq_addr      (lsu_bld_rq_addr[1:0]),
1187
                  .lsu_fwdpkt_pcx_rq_sel(lsu_fwdpkt_pcx_rq_sel),
1188
                  .lsu_imiss_pcx_rq_sel_d1(lsu_imiss_pcx_rq_sel_d1),
1189
                  .lsu_tlu_pcxpkt_ack   (lsu_tlu_pcxpkt_ack),
1190
                  .lsu_intrpt_cmplt     (lsu_intrpt_cmplt[3:0]),
1191
                  .lsu_lmq_byp_misc_sel (lsu_lmq_byp_misc_sel[3:0]),
1192
                  .lsu_sscan_data       (lsu_sscan_data[12:0]),  // Templated
1193
                  .lsu_dfq_byp_tid_d1_sel(lsu_dfq_byp_tid_d1_sel[3:0]),
1194
                  .lmq0_pcx_pkt_way     (lmq0_pcx_pkt_way[1:0]),
1195
                  .lmq1_pcx_pkt_way     (),
1196
                  .lmq2_pcx_pkt_way     (),
1197
                  .lmq3_pcx_pkt_way     (),
1198
                  .lsu_st_pcx_rq_pick   (lsu_st_pcx_rq_pick[3:0]),
1199
                  .lsu_stb_pcx_rvld_d1  (lsu_stb_pcx_rvld_d1),
1200
                  .lsu_stb_rd_tid       (lsu_stb_rd_tid[1:0]),
1201
                  .lsu_ld0_spec_vld_kill_w2(lsu_ld0_spec_vld_kill_w2),
1202
                  .lsu_ld1_spec_vld_kill_w2(),
1203
                  .lsu_ld2_spec_vld_kill_w2(),
1204
                  .lsu_ld3_spec_vld_kill_w2(),
1205
                  .lsu_st_pcx_rq_vld    (lsu_st_pcx_rq_vld),
1206
                  // Inputs
1207
                  .rclk                 (clk),                   // Templated
1208
                  .se                   (se),
1209
                  .sehold               (sehold),
1210
                  .grst_l               (gdbginit_l),            // Templated
1211
                  .arst_l               (arst_l),
1212
                  .lsu_quad_word_access_g(lsu_quad_word_access_g),
1213
                  .pcx_spc_grant_px     (pcx_spc_grant_px[4:0]),
1214
                  .ld_inst_vld_e        (ifu_lsu_ld_inst_e),     // Templated
1215
                  .lsu_ldst_va_m        (lsu_ldst_va_m_buf[7:6]), // Templated
1216
                  .stb0_l2b_addr        (stb0_l2b_addr[2:0]),
1217
                  .stb1_l2b_addr        (3'b000),
1218
                  .stb2_l2b_addr        (3'b000),
1219
                  .stb3_l2b_addr        (3'b000),
1220
                  .lsu_ld_miss_g        (lsu_ld_miss_wb),        // Templated
1221
                  .ifu_lsu_ldst_fp_e    (ifu_lsu_ldst_fp_e),
1222
                  .ld_rawp_st_ced_w2    (ld_rawp_st_ced_w2),
1223
                  .ld_rawp_st_ackid_w2  (ld_rawp_st_ackid_w2[2:0]),
1224
                  .stb0_crnt_ack_id     (stb0_crnt_ack_id[2:0]),
1225
                  .stb1_crnt_ack_id     (3'b000),
1226
                  .stb2_crnt_ack_id     (3'b000),
1227
                  .stb3_crnt_ack_id     (3'b000),
1228
                  .ifu_tlu_thrid_e      (ifu_tlu_thrid_e[1:0]),
1229
                  .ldxa_internal        (ldxa_internal),
1230
                  .spu_lsu_ldst_pckt    (spu_lsu_ldst_pckt[`PCX_AD_LO+7:`PCX_AD_LO+6]),
1231
                  .spu_lsu_ldst_pckt_vld(spu_lsu_ldst_pckt[`PCX_VLD]), // Templated
1232
                  .ifu_tlu_inst_vld_m   (ifu_tlu_inst_vld_m),
1233
                  .ifu_lsu_flush_w      (ifu_lsu_flush_w),
1234
                  .ifu_lsu_casa_e       (ifu_lsu_casa_e),
1235
                  .lsu_ldstub_g         (lsu_ldstub_g),
1236
                  .lsu_swap_g           (lsu_swap_g),
1237
                  .stb0_atm_rq_type     (stb0_atm_rq_type[2:1]),
1238
                  .stb1_atm_rq_type     (2'b00),
1239
                  .stb2_atm_rq_type     (2'b00),
1240
                  .stb3_atm_rq_type     (2'b00),
1241
                  .tlb_pgnum_g          (tlb_pgnum_buf[39:37]),  // Templated
1242
                  .stb_rd_for_pcx       ({3'b000, stb_rd_for_pcx[0]}),
1243
                  .ffu_lsu_data         (ffu_lsu_data[80:79]),
1244
                  .ffu_lsu_fpop_rq_vld  (ffu_lsu_fpop_rq_vld),
1245
                  .ifu_lsu_ldst_dbl_e   (ifu_lsu_ldst_dbl_e),
1246
                  .ifu_lsu_pcxreq_d     (ifu_lsu_pcxreq_d),
1247
                  .ifu_lsu_destid_s     (ifu_lsu_destid_s[2:0]),
1248
                  .ifu_lsu_pref_inst_e  (ifu_lsu_pref_inst_e),
1249
                  .tlb_cam_hit_g        (tlb_cam_hit_g),
1250
                  .lsu_blk_asi_m        (lsu_blk_asi_m),
1251
                  .stb_cam_hit_bf       (stb_cam_hit_bf),
1252
                  .lsu_fwdpkt_vld       (lsu_fwdpkt_vld),
1253
                  .lsu_dcfill_active_e  (lsu_dcfill_active_e),
1254
                  .dfq_byp_sel          (dfq_byp_sel[3:0]),
1255
                  .lsu_dfq_ld_vld       (lsu_dfq_ld_vld),
1256
                  .lsu_fldd_vld_en      (lsu_fldd_vld_en),
1257
                  .lsu_dfill_dcd_thrd   (lsu_dfill_dcd_thrd[3:0]),
1258
                  .lsu_fwdpkt_dest      (lsu_fwdpkt_dest[4:0]),
1259
                  .tlu_lsu_pcxpkt_tid   (tlu_lsu_pcxpkt[19:18]), // Templated
1260
                  .lsu_stb_empty        (lsu_stb_empty_buf[3:0]), // Templated
1261
                  .tlu_lsu_pcxpkt_vld   (tlu_lsu_pcxpkt[25]),    // Templated
1262
                  .tlu_lsu_pcxpkt_l2baddr(tlu_lsu_pcxpkt[11:10]), // Templated
1263
                  .ld_sec_hit_thrd0     (ld_sec_hit_thrd0),
1264
                  .ld_sec_hit_thrd1     (1'b0),
1265
                  .ld_sec_hit_thrd2     (1'b0),
1266
                  .ld_sec_hit_thrd3     (1'b0),
1267
                  .ld_thrd_byp_sel_e    (lsu_ld_thrd_byp_sel_e[2:0]), // Templated
1268
                  .lsu_st_pcx_rq_kill_w2({3'b000, lsu_st_pcx_rq_kill_w2[0]}),
1269
                  .ifu_lsu_alt_space_e  (ifu_lsu_alt_space_e),
1270
                  .lsu_dfq_byp_tid      (lsu_dfq_byp_tid[1:0]),
1271
                  .dfq_byp_ff_en        (dfq_byp_ff_en),
1272
                  .stb_ld_full_raw      (stb_ld_full_raw[7:0]),
1273
                  .stb_ld_partial_raw   (stb_ld_partial_raw[7:0]),
1274
                  .stb_cam_mhit         (stb_cam_mhit),
1275
                  .lsu_ldquad_inst_m    (lsu_ldquad_inst_m),
1276
                  .stb_cam_wr_no_ivld_m (stb_cam_wr_no_ivld_m),
1277
                  .lsu_ldst_va_way_g    (lsu_ldst_va_way_g[1:0]),
1278
                  .lsu_dcache_rand      (lsu_dcache_rand[1:0]),
1279
                  .lsu_encd_way_hit     (lsu_encd_way_hit[1:0]),
1280
                  .lsu_way_hit_or       (lsu_way_hit_or),
1281
                  .dc_direct_map        (dc_direct_map),
1282
                  .lsu_tlb_perr_ld_rq_kill_w(lsu_tlb_perr_ld_rq_kill_w),
1283
                  .lsu_dcache_tag_perror_g(lsu_dcache_tag_perror_g),
1284
                  .lsu_ld_inst_vld_g    (lsu_ld_inst_vld_g[3:0]),
1285
                  .asi_internal_m       (asi_internal_m),
1286
                  .ifu_lsu_pcxpkt_e_b50 (ifu_lsu_pcxpkt_e[50]),  // Templated
1287
                  .lda_internal_m       (lda_internal_m),
1288
                  .atomic_m             (atomic_m),
1289
                  .lsu_dcache_iob_rd_w  (lsu_dcache_iob_rd_w),
1290
                  .ifu_lsu_fwd_data_vld (ifu_lsu_fwd_data_vld),
1291
                  .rst_tri_en           (mux_drive_disable),     // Templated
1292
                  .lsu_no_spc_pref      (lsu_no_spc_pref[3:0]),
1293
                  .tlu_early_flush_pipe2_w(tlu_early_flush_pipe2_w),
1294
                  .lsu_ttype_vld_m2     (lsu_ttype_vld_m2_bf1));  // Templated
1295
`else // !`ifdef FPGA_SYN_1THREAD
1296 95 fafa1971
 
1297
   lsu_qctl1 qctl1  (
1298
                  .so                   (short_scan1_1),
1299
                  .si                   (short_si1),
1300
                  /*AUTOINST*/
1301
                  // Outputs
1302
                  .lsu_bld_helper_cmplt_m(lsu_bld_helper_cmplt_m),
1303
                  .lsu_bld_cnt_m        (lsu_bld_cnt_m[2:0]),
1304
                  .lsu_bld_reset        (lsu_bld_reset),
1305
                  .lsu_pcx_rq_sz_b3     (lsu_pcx_rq_sz_b3),
1306
                  .lsu_ramtest_rd_w     (lsu_ramtest_rd_w),
1307
                  .ld_stb_full_raw_w2   (ld_stb_full_raw_w2),
1308
                  .lsu_ld_pcx_rq_sel_d2 (lsu_ld_pcx_rq_sel_d2[3:0]),
1309
                  .spc_pcx_req_pq       (spc_pcx_req_pq[4:0]),
1310
                  .spc_pcx_atom_pq      (spc_pcx_atom_pq),
1311
                  .lsu_ifu_pcxpkt_ack_d (lsu_ifu_pcxpkt_ack_d),
1312
                  .pcx_pkt_src_sel      (pcx_pkt_src_sel[3:0]),
1313
                  .lmq_enable           (lmq_enable[3:0]),
1314
                  .imiss_pcx_mx_sel     (imiss_pcx_mx_sel),
1315
                  .fwd_int_fp_pcx_mx_sel(fwd_int_fp_pcx_mx_sel[2:0]),
1316
                  .lsu_ffu_bld_cnt_w    (lsu_ffu_bld_cnt_w[2:0]),
1317
                  .lsu_ld_pcx_rq_mxsel  (lsu_ld_pcx_rq_mxsel[3:0]),
1318
                  .ld_pcx_thrd          (ld_pcx_thrd[1:0]),
1319
                  .lsu_spu_ldst_ack     (lsu_spu_ldst_ack),
1320
                  .pcx_rq_for_stb       (pcx_rq_for_stb[3:0]),
1321
                  .pcx_rq_for_stb_d1    (pcx_rq_for_stb_d1[3:0]),
1322
                  .lsu_ffu_ack          (lsu_ffu_ack),
1323
                  .lsu_ifu_ld_pcxpkt_vld(lsu_ifu_ld_pcxpkt_vld),
1324
                  .lsu_pcx_req_squash0  (lsu_pcx_req_squash0),
1325
                  .lsu_pcx_req_squash1  (lsu_pcx_req_squash1),
1326
                  .lsu_pcx_req_squash2  (lsu_pcx_req_squash2),
1327
                  .lsu_pcx_req_squash3  (lsu_pcx_req_squash3),
1328
                  .lsu_pcx_req_squash_d1(lsu_pcx_req_squash_d1),
1329
                  .lsu_pcx_ld_dtag_perror_w2(lsu_pcx_ld_dtag_perror_w2),
1330
                  .lsu_tlu_dcache_miss_w2(lsu_tlu_dcache_miss_w2[3:0]),
1331
                  .lsu_bld_pcx_rq       (lsu_bld_pcx_rq),
1332
                  .lsu_bld_rq_addr      (lsu_bld_rq_addr[1:0]),
1333
                  .lsu_fwdpkt_pcx_rq_sel(lsu_fwdpkt_pcx_rq_sel),
1334
                  .lsu_imiss_pcx_rq_sel_d1(lsu_imiss_pcx_rq_sel_d1),
1335
                  .lsu_tlu_pcxpkt_ack   (lsu_tlu_pcxpkt_ack),
1336
                  .lsu_intrpt_cmplt     (lsu_intrpt_cmplt[3:0]),
1337
                  .lsu_lmq_byp_misc_sel (lsu_lmq_byp_misc_sel[3:0]),
1338
                  .lsu_sscan_data       (lsu_sscan_data[12:0]),  // Templated
1339
                  .lsu_dfq_byp_tid_d1_sel(lsu_dfq_byp_tid_d1_sel[3:0]),
1340
                  .lmq0_pcx_pkt_way     (lmq0_pcx_pkt_way[1:0]),
1341
                  .lmq1_pcx_pkt_way     (lmq1_pcx_pkt_way[1:0]),
1342
                  .lmq2_pcx_pkt_way     (lmq2_pcx_pkt_way[1:0]),
1343
                  .lmq3_pcx_pkt_way     (lmq3_pcx_pkt_way[1:0]),
1344
                  .lsu_st_pcx_rq_pick   (lsu_st_pcx_rq_pick[3:0]),
1345
                  .lsu_stb_pcx_rvld_d1  (lsu_stb_pcx_rvld_d1),
1346
                  .lsu_stb_rd_tid       (lsu_stb_rd_tid[1:0]),
1347
                  .lsu_ld0_spec_vld_kill_w2(lsu_ld0_spec_vld_kill_w2),
1348
                  .lsu_ld1_spec_vld_kill_w2(lsu_ld1_spec_vld_kill_w2),
1349
                  .lsu_ld2_spec_vld_kill_w2(lsu_ld2_spec_vld_kill_w2),
1350
                  .lsu_ld3_spec_vld_kill_w2(lsu_ld3_spec_vld_kill_w2),
1351
                  .lsu_st_pcx_rq_vld    (lsu_st_pcx_rq_vld),
1352
                  // Inputs
1353
                  .rclk                 (clk),                   // Templated
1354
                  .se                   (se),
1355
                  .sehold               (sehold),
1356
                  .grst_l               (gdbginit_l),            // Templated
1357
                  .arst_l               (arst_l),
1358
                  .lsu_quad_word_access_g(lsu_quad_word_access_g),
1359
                  .pcx_spc_grant_px     (pcx_spc_grant_px[4:0]),
1360
                  .ld_inst_vld_e        (ifu_lsu_ld_inst_e),     // Templated
1361
                  .lsu_ldst_va_m        (lsu_ldst_va_m_buf[7:6]), // Templated
1362
                  .stb0_l2b_addr        (stb0_l2b_addr[2:0]),
1363
                  .stb1_l2b_addr        (stb1_l2b_addr[2:0]),
1364
                  .stb2_l2b_addr        (stb2_l2b_addr[2:0]),
1365
                  .stb3_l2b_addr        (stb3_l2b_addr[2:0]),
1366
                  .lsu_ld_miss_g        (lsu_ld_miss_wb),        // Templated
1367
                  .ifu_lsu_ldst_fp_e    (ifu_lsu_ldst_fp_e),
1368
                  .ld_rawp_st_ced_w2    (ld_rawp_st_ced_w2),
1369
                  .ld_rawp_st_ackid_w2  (ld_rawp_st_ackid_w2[2:0]),
1370
                  .stb0_crnt_ack_id     (stb0_crnt_ack_id[2:0]),
1371
                  .stb1_crnt_ack_id     (stb1_crnt_ack_id[2:0]),
1372
                  .stb2_crnt_ack_id     (stb2_crnt_ack_id[2:0]),
1373
                  .stb3_crnt_ack_id     (stb3_crnt_ack_id[2:0]),
1374
                  .ifu_tlu_thrid_e      (ifu_tlu_thrid_e[1:0]),
1375
                  .ldxa_internal        (ldxa_internal),
1376 113 albert.wat
                  .spu_lsu_ldst_pckt    (spu_lsu_ldst_pckt[`PCX_AD_LO+7:`PCX_AD_LO+6]),
1377
                  .spu_lsu_ldst_pckt_vld(spu_lsu_ldst_pckt[`PCX_VLD]), // Templated
1378 95 fafa1971
                  .ifu_tlu_inst_vld_m   (ifu_tlu_inst_vld_m),
1379
                  .ifu_lsu_flush_w      (ifu_lsu_flush_w),
1380
                  .ifu_lsu_casa_e       (ifu_lsu_casa_e),
1381
                  .lsu_ldstub_g         (lsu_ldstub_g),
1382
                  .lsu_swap_g           (lsu_swap_g),
1383
                  .stb0_atm_rq_type     (stb0_atm_rq_type[2:1]),
1384
                  .stb1_atm_rq_type     (stb1_atm_rq_type[2:1]),
1385
                  .stb2_atm_rq_type     (stb2_atm_rq_type[2:1]),
1386
                  .stb3_atm_rq_type     (stb3_atm_rq_type[2:1]),
1387
                  .tlb_pgnum_g          (tlb_pgnum_buf[39:37]),  // Templated
1388
                  .stb_rd_for_pcx       (stb_rd_for_pcx[3:0]),
1389
                  .ffu_lsu_data         (ffu_lsu_data[80:79]),
1390
                  .ffu_lsu_fpop_rq_vld  (ffu_lsu_fpop_rq_vld),
1391
                  .ifu_lsu_ldst_dbl_e   (ifu_lsu_ldst_dbl_e),
1392
                  .ifu_lsu_pcxreq_d     (ifu_lsu_pcxreq_d),
1393
                  .ifu_lsu_destid_s     (ifu_lsu_destid_s[2:0]),
1394
                  .ifu_lsu_pref_inst_e  (ifu_lsu_pref_inst_e),
1395
                  .tlb_cam_hit_g        (tlb_cam_hit_g),
1396
                  .lsu_blk_asi_m        (lsu_blk_asi_m),
1397
                  .stb_cam_hit_bf       (stb_cam_hit_bf),
1398
                  .lsu_fwdpkt_vld       (lsu_fwdpkt_vld),
1399
                  .lsu_dcfill_active_e  (lsu_dcfill_active_e),
1400
                  .dfq_byp_sel          (dfq_byp_sel[3:0]),
1401
                  .lsu_dfq_ld_vld       (lsu_dfq_ld_vld),
1402
                  .lsu_fldd_vld_en      (lsu_fldd_vld_en),
1403
                  .lsu_dfill_dcd_thrd   (lsu_dfill_dcd_thrd[3:0]),
1404
                  .lsu_fwdpkt_dest      (lsu_fwdpkt_dest[4:0]),
1405
                  .tlu_lsu_pcxpkt_tid   (tlu_lsu_pcxpkt[19:18]), // Templated
1406
                  .lsu_stb_empty        (lsu_stb_empty_buf[3:0]), // Templated
1407
                  .tlu_lsu_pcxpkt_vld   (tlu_lsu_pcxpkt[25]),    // Templated
1408
                  .tlu_lsu_pcxpkt_l2baddr(tlu_lsu_pcxpkt[11:10]), // Templated
1409
                  .ld_sec_hit_thrd0     (ld_sec_hit_thrd0),
1410
                  .ld_sec_hit_thrd1     (ld_sec_hit_thrd1),
1411
                  .ld_sec_hit_thrd2     (ld_sec_hit_thrd2),
1412
                  .ld_sec_hit_thrd3     (ld_sec_hit_thrd3),
1413
                  .ld_thrd_byp_sel_e    (lsu_ld_thrd_byp_sel_e[2:0]), // Templated
1414
                  .lsu_st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[3:0]),
1415
                  .ifu_lsu_alt_space_e  (ifu_lsu_alt_space_e),
1416
                  .lsu_dfq_byp_tid      (lsu_dfq_byp_tid[1:0]),
1417
                  .dfq_byp_ff_en        (dfq_byp_ff_en),
1418
                  .stb_ld_full_raw      (stb_ld_full_raw[7:0]),
1419
                  .stb_ld_partial_raw   (stb_ld_partial_raw[7:0]),
1420
                  .stb_cam_mhit         (stb_cam_mhit),
1421
                  .lsu_ldquad_inst_m    (lsu_ldquad_inst_m),
1422
                  .stb_cam_wr_no_ivld_m (stb_cam_wr_no_ivld_m),
1423
                  .lsu_ldst_va_way_g    (lsu_ldst_va_way_g[1:0]),
1424
                  .lsu_dcache_rand      (lsu_dcache_rand[1:0]),
1425
                  .lsu_encd_way_hit     (lsu_encd_way_hit[1:0]),
1426
                  .lsu_way_hit_or       (lsu_way_hit_or),
1427
                  .dc_direct_map        (dc_direct_map),
1428
                  .lsu_tlb_perr_ld_rq_kill_w(lsu_tlb_perr_ld_rq_kill_w),
1429
                  .lsu_dcache_tag_perror_g(lsu_dcache_tag_perror_g),
1430
                  .lsu_ld_inst_vld_g    (lsu_ld_inst_vld_g[3:0]),
1431
                  .asi_internal_m       (asi_internal_m),
1432
                  .ifu_lsu_pcxpkt_e_b50 (ifu_lsu_pcxpkt_e[50]),  // Templated
1433
                  .lda_internal_m       (lda_internal_m),
1434
                  .atomic_m             (atomic_m),
1435
                  .lsu_dcache_iob_rd_w  (lsu_dcache_iob_rd_w),
1436
                  .ifu_lsu_fwd_data_vld (ifu_lsu_fwd_data_vld),
1437
                  .rst_tri_en           (mux_drive_disable),     // Templated
1438
                  .lsu_no_spc_pref      (lsu_no_spc_pref[3:0]),
1439
                  .tlu_early_flush_pipe2_w(tlu_early_flush_pipe2_w),
1440
                  .lsu_ttype_vld_m2     (lsu_ttype_vld_m2_bf1));  // Templated
1441 113 albert.wat
`endif // !`ifdef FPGA_SYN_1THREAD
1442 95 fafa1971
 
1443
 
1444
/* lsu_qctl2 AUTO_TEMPLATE (
1445
                .rst_tri_en             (mux_drive_disable),
1446
                .rclk                    (clk),
1447
                .lsu_dfq_rdata_b103      (dfq_rdata[103]),
1448
                .lsu_dfq_rdata_rq_type   (dfq_rdata[`CPX_WIDTH-2:`CPX_WIDTH-5]),
1449
                .lsu_dfq_rdata_type      (dfq_rdata[`DFQ_WIDTH-1:`DFQ_WIDTH-6]),
1450
                .lsu_dfq_rdata_invwy_vld (dfq_rdata[`CPX_WYVLD]),
1451
                .lsu_dfq_rdata_cpuid     (dfq_rdata[`CPX_INV_CID_HI:`CPX_INV_CID_LO]),
1452
                .lsu_dfq_rdata_stack_iinv_vld(dfq_rdata[128]),
1453
                .lsu_dfq_rdata_st_ack_type(dfq_rdata[`DFQ_WIDTH-4]),
1454
                .lsu_dfq_rdata_stack_dcfill_vld(dfq_rdata[130]),
1455
                .lsu_ifill_pkt_vld      (lsu_ifu_cpxpkt_vld_i1),
1456
                .lsu_dfq_vld_entry_w    (lsu_sscan_data[15]),
1457
                .ifu_pcx_pkt_b10t5      (ifu_lsu_pcxpkt_e[10:5]),
1458
                .ifu_pcx_pkt_b41t40     (ifu_lsu_pcxpkt_e[41:40]),
1459
                .ifu_pcx_pkt_b51        (ifu_lsu_pcxpkt_e[51]),
1460
                .ld_inst_vld_e          (ifu_lsu_ld_inst_e),
1461
                .lsu_l2fill_fpld_e      (lsu_l2fill_fpld_e),
1462
                .lsu_dfq_rdata_flush_bit (dfq_rdata[136]),
1463
                .lsu_dfq_rdata_b17_b0    (dfq_rdata[17:0]),
1464
                .lsu_ld_miss_g          (lsu_ld_miss_wb));
1465
*/
1466
 
1467 113 albert.wat
`ifdef FPGA_SYN_1THREAD
1468
 
1469
lsu_qctl2 qctl2  (
1470
                  .so                   (scan1_1),
1471
                  .si                   (si1),
1472
                  .lsu_cpx_stack_dcfill_vld_b130  (lsu_cpx_stack_dcfill_vld_b130),
1473 95 fafa1971
 
1474 113 albert.wat
                  .cpx_spc_data_cx_b144to140  (cpx_spc_data_cx[`CPX_WIDTH-1:140]),
1475
                  .cpx_spc_data_cx_b138       (cpx_spc_data_cx[138]),
1476
                  .cpx_spc_data_cx_b135to134  (cpx_spc_data_cx[`CPX_TH_HI:`CPX_TH_LO]),
1477
                  .cpx_spc_data_cx_b133       (cpx_spc_data_cx[`CPX_WYVLD]),
1478
                  .cpx_spc_data_cx_b130       (cpx_spc_data_cx[130]),
1479
                  .cpx_spc_data_cx_b129       (cpx_spc_data_cx[129]),
1480
                  .cpx_spc_data_cx_b128       (cpx_spc_data_cx[128]),
1481
                  .cpx_spc_data_cx_b125       (cpx_spc_data_cx[`CPX_BINIT_STACK]),
1482
                  .cpx_spc_data_cx_b124to123  (cpx_spc_data_cx[`CPX_PERR_DINV+1:`CPX_PERR_DINV]),
1483
                  .cpx_spc_data_cx_b120to118  (cpx_spc_data_cx[`CPX_INV_CID_HI:`CPX_INV_CID_LO]),
1484
                  .cpx_spc_data_cx_b71to70    (cpx_spc_data_cx[71:70]),
1485
                  .cpx_spc_data_cx_b0         (cpx_spc_data_cx[0]),
1486
                  .cpx_spc_data_cx_b4         (cpx_spc_data_cx[4]),
1487
                  .cpx_spc_data_cx_b8         (cpx_spc_data_cx[8]),
1488
                  .cpx_spc_data_cx_b12        (cpx_spc_data_cx[12]),
1489
                  .cpx_spc_data_cx_b16        (cpx_spc_data_cx[16]),
1490
                  .cpx_spc_data_cx_b20        (cpx_spc_data_cx[20]),
1491
                  .cpx_spc_data_cx_b24        (cpx_spc_data_cx[24]),
1492
                  .cpx_spc_data_cx_b28        (cpx_spc_data_cx[28]),
1493 95 fafa1971
 
1494 113 albert.wat
                  .cpx_spc_data_cx_b32        (cpx_spc_data_cx[32]),
1495
                  .cpx_spc_data_cx_b35        (cpx_spc_data_cx[35]),
1496
                  .cpx_spc_data_cx_b38        (cpx_spc_data_cx[38]),
1497
                  .cpx_spc_data_cx_b41        (cpx_spc_data_cx[41]),
1498
                  .cpx_spc_data_cx_b44        (cpx_spc_data_cx[44]),
1499
                  .cpx_spc_data_cx_b47        (cpx_spc_data_cx[47]),
1500
                  .cpx_spc_data_cx_b50        (cpx_spc_data_cx[50]),
1501
                  .cpx_spc_data_cx_b53        (cpx_spc_data_cx[53]),
1502 95 fafa1971
 
1503 113 albert.wat
                  .cpx_spc_data_cx_b56        (cpx_spc_data_cx[56]),
1504
                  .cpx_spc_data_cx_b60        (cpx_spc_data_cx[60]),
1505
                  .cpx_spc_data_cx_b64        (cpx_spc_data_cx[64]),
1506
                  .cpx_spc_data_cx_b68        (cpx_spc_data_cx[68]),
1507
                  .cpx_spc_data_cx_b72        (cpx_spc_data_cx[72]),
1508
                  .cpx_spc_data_cx_b76        (cpx_spc_data_cx[76]),
1509
                  .cpx_spc_data_cx_b80        (cpx_spc_data_cx[80]),
1510
                  .cpx_spc_data_cx_b84        (cpx_spc_data_cx[84]),
1511 95 fafa1971
 
1512 113 albert.wat
                  .cpx_spc_data_cx_b88        (cpx_spc_data_cx[88]),
1513
                  .cpx_spc_data_cx_b91        (cpx_spc_data_cx[91]),
1514
                  .cpx_spc_data_cx_b94        (cpx_spc_data_cx[94]),
1515
                  .cpx_spc_data_cx_b97        (cpx_spc_data_cx[97]),
1516
                  .cpx_spc_data_cx_b100       (cpx_spc_data_cx[100]),
1517
                  .cpx_spc_data_cx_b103       (cpx_spc_data_cx[103]),
1518
                  .cpx_spc_data_cx_b106       (cpx_spc_data_cx[106]),
1519
                  .cpx_spc_data_cx_b109       (cpx_spc_data_cx[109]),
1520 95 fafa1971
 
1521 113 albert.wat
                  .cpx_spc_data_cx_b1         (cpx_spc_data_cx[1]),
1522
                  .cpx_spc_data_cx_b5         (cpx_spc_data_cx[5]),
1523
                  .cpx_spc_data_cx_b9         (cpx_spc_data_cx[9]),
1524
                  .cpx_spc_data_cx_b13        (cpx_spc_data_cx[13]),
1525
                  .cpx_spc_data_cx_b17        (cpx_spc_data_cx[17]),
1526
                  .cpx_spc_data_cx_b21        (cpx_spc_data_cx[21]),
1527
                  .cpx_spc_data_cx_b25        (cpx_spc_data_cx[25]),
1528
                  .cpx_spc_data_cx_b29        (cpx_spc_data_cx[29]),
1529 95 fafa1971
 
1530 113 albert.wat
                  .cpx_spc_data_cx_b57        (cpx_spc_data_cx[57]),
1531
                  .cpx_spc_data_cx_b61        (cpx_spc_data_cx[61]),
1532
                  .cpx_spc_data_cx_b65        (cpx_spc_data_cx[65]),
1533
                  .cpx_spc_data_cx_b69        (cpx_spc_data_cx[69]),
1534
                  .cpx_spc_data_cx_b73        (cpx_spc_data_cx[73]),
1535
                  .cpx_spc_data_cx_b77        (cpx_spc_data_cx[77]),
1536
                  .cpx_spc_data_cx_b81        (cpx_spc_data_cx[81]),
1537
                  .cpx_spc_data_cx_b85        (cpx_spc_data_cx[85]),
1538 95 fafa1971
 
1539 113 albert.wat
                  .lsu_cpx_stack_icfill_vld(lsu_cpx_stack_icfill_vld),
1540
                  /*AUTOINST*/
1541
                  // Outputs
1542
                  .lsu_fwd_rply_sz1_unc (lsu_fwd_rply_sz1_unc),
1543
                  .lsu_dcache_iob_rd_w  (lsu_dcache_iob_rd_w),
1544
                  .ldd_in_dfq_out       (ldd_in_dfq_out),
1545
                  .lsu_dfq_rd_vld_d1    (lsu_dfq_rd_vld_d1),
1546
                  .dfq_byp_ff_en        (dfq_byp_ff_en),
1547
                  .lsu_dfill_data_sel_hi(lsu_dfill_data_sel_hi),
1548
                  .lsu_ifill_pkt_vld    (lsu_ifu_cpxpkt_vld_i1), // Templated
1549
                  .cpx_fwd_pkt_en_cx    (cpx_fwd_pkt_en_cx),
1550
                  .lsu_cpxpkt_type_dcd_cx(lsu_cpxpkt_type_dcd_cx[5:0]),
1551
                  .lsu_cpu_dcd_sel      (lsu_cpu_dcd_sel[7:0]),
1552
                  .lsu_cpu_uhlf_sel     (lsu_cpu_uhlf_sel),
1553
                  .lsu_iobrdge_rply_data_sel(lsu_iobrdge_rply_data_sel[2:0]),
1554
                  .lsu_iobrdge_fwd_pkt_vld(lsu_iobrdge_fwd_pkt_vld),
1555
                  .lsu_tlu_cpx_vld      (lsu_tlu_cpx_vld),
1556
                  .lsu_tlu_cpx_req      (lsu_tlu_cpx_req[3:0]),
1557
                  .lsu_tlu_intpkt       (lsu_tlu_intpkt[17:0]),
1558
                  .ld_sec_active        (ld_sec_active),
1559
                  .dfq_byp_sel          (dfq_byp_sel[3:0]),
1560
                  .lsu_cpx_ld_dtag_perror_e(lsu_cpx_ld_dtag_perror_e),
1561
                  .lsu_cpx_ld_dcache_perror_e(lsu_cpx_ld_dcache_perror_e),
1562
                  .lsu_exu_rd_m         (lsu_exu_rd_m[4:0]),
1563
                  .lsu_spu_strm_ack_cmplt(lsu_spu_strm_ack_cmplt[1:0]),
1564
                  .lsu_atm_st_cmplt_e   (lsu_atm_st_cmplt_e),
1565
                  .dva_svld_e           (dva_svld_e),
1566
                  .dfq_wptr_vld         (dfq_wptr_vld),
1567
                  .dfq_wptr             (dfq_wptr[4:0]),
1568
                  .lsu_dfq_flsh_cmplt   (lsu_dfq_flsh_cmplt[3:0]),
1569
                  .dfq_rptr_vld         (dfq_rptr_vld),
1570
                  .dfq_rptr             (dfq_rptr[4:0]),
1571
                  .lsu_ifu_stallreq     (lsu_ifu_stallreq),
1572
                  .dva_snp_addr_e       (dva_snp_addr_e[4:0]),
1573
                  .lsu_st_ack_dq_stb    (lsu_st_ack_dq_stb[3:0]),
1574
                  .lsu_cpx_rmo_st_ack   (lsu_cpx_rmo_st_ack[3:0]),
1575
                  .lsu_st_wr_dcache     (lsu_st_wr_dcache),
1576
                  .cpx_st_ack_tid0      (cpx_st_ack_tid0),
1577
                  .cpx_st_ack_tid1      (),
1578
                  .cpx_st_ack_tid2      (),
1579
                  .cpx_st_ack_tid3      (),
1580
                  .lsu_tlu_l2_dmiss     (lsu_tlu_l2_dmiss[3:0]),
1581
                  .lsu_l2fill_vld       (lsu_l2fill_vld),
1582
                  .lsu_byp_ldd_oddrd_m  (lsu_byp_ldd_oddrd_m),
1583
                  .lsu_pcx_fwd_reply    (lsu_pcx_fwd_reply),
1584
                  .lsu_fwdpkt_vld       (lsu_fwdpkt_vld),
1585
                  .lsu_dcfill_active_e  (lsu_dcfill_active_e),
1586
                  .lsu_dfq_ld_vld       (lsu_dfq_ld_vld),
1587
                  .lsu_fldd_vld_en      (lsu_fldd_vld_en),
1588
                  .lsu_dfill_dcd_thrd   (lsu_dfill_dcd_thrd[3:0]),
1589
                  .lsu_fwdpkt_dest      (lsu_fwdpkt_dest[4:0]),
1590
                  .dva_snp_bit_wr_en_e  (dva_snp_bit_wr_en_e[15:0]),
1591
                  .lsu_cpx_spc_inv_vld  (lsu_cpx_spc_inv_vld),
1592
                  .lsu_cpx_thrdid       (lsu_cpx_thrdid[3:0]),
1593
                  .lsu_cpx_stack_dcfill_vld(lsu_cpx_stack_dcfill_vld),
1594
                  .lsu_dfq_vld_entry_w  (lsu_sscan_data[15]),    // Templated
1595
                  .lsu_dfq_st_vld       (lsu_dfq_st_vld),
1596
                  .lsu_dfq_ldst_vld     (lsu_dfq_ldst_vld),
1597
                  .lsu_qdp2_dfq_ld_vld  (lsu_qdp2_dfq_ld_vld),
1598
                  .lsu_qdp2_dfq_st_vld  (lsu_qdp2_dfq_st_vld),
1599
                  .lsu_dfq_vld          (lsu_dfq_vld),
1600
                  .lsu_dfq_byp_ff_en    (lsu_dfq_byp_ff_en),
1601
                  // Inputs
1602
                  .rclk                 (clk),                   // Templated
1603
                  .grst_l               (grst_l),
1604
                  .arst_l               (arst_l),
1605
                  .se                   (se),
1606
                  .rst_tri_en           (mux_drive_disable),     // Templated
1607
                  .ld_inst_vld_e        (ifu_lsu_ld_inst_e),     // Templated
1608
                  .ifu_pcx_pkt_b51      (ifu_lsu_pcxpkt_e[51]),  // Templated
1609
                  .ifu_pcx_pkt_b41t40   (ifu_lsu_pcxpkt_e[41:40]), // Templated
1610
                  .ifu_pcx_pkt_b10t5    (ifu_lsu_pcxpkt_e[10:5]), // Templated
1611
                  .lsu_dfq_rdata_flush_bit(dfq_rdata[136]),      // Templated
1612
                  .lsu_dfq_rdata_b17_b0 (dfq_rdata[17:0]),       // Templated
1613
                  .ifu_lsu_rd_e         (ifu_lsu_rd_e[4:0]),
1614
                  .lmq_ld_rd1           (lmq_ld_rd1[4:0]),
1615
                  .lmq_ldd_vld          (lmq_ldd_vld),
1616
                  .dfq_tid              (dfq_tid[1:0]),
1617
                  .const_cpuid          (const_cpuid[2:0]),
1618
                  .lmq_ld_addr_b3       (lmq_ld_addr_b3),
1619
                  .ifu_lsu_ibuf_busy    (ifu_lsu_ibuf_busy),
1620
                  .ifu_lsu_inv_clear    (ifu_lsu_inv_clear),
1621
                  .lsu_byp_misc_sz_e    (lsu_byp_misc_sz_e[1:0]),
1622
                  .lsu_dfq_byp_tid      (lsu_dfq_byp_tid[1:0]),
1623
                  .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
1624
                  .lsu_cpx_pkt_l2miss   (lsu_cpx_pkt_l2miss),
1625
                  .lsu_cpx_pkt_tid      (lsu_cpx_pkt_tid[1:0]),
1626
                  .lsu_cpx_pkt_invwy    (lsu_cpx_pkt_invwy[1:0]),
1627
                  .lsu_dfq_byp_flush    (lsu_dfq_byp_flush),
1628
                  .lsu_dfq_byp_type     (lsu_dfq_byp_type[5:0]),
1629
                  .lsu_dfq_byp_invwy_vld(lsu_dfq_byp_invwy_vld),
1630
                  .lsu_cpu_inv_data_b13to9(lsu_cpu_inv_data_b13to9[13:9]),
1631
                  .lsu_cpu_inv_data_b7to2(lsu_cpu_inv_data_b7to2[7:2]),
1632
                  .lsu_cpu_inv_data_b0  (lsu_cpu_inv_data_b0),
1633
                  .lsu_cpx_pkt_inv_pa   (lsu_cpx_pkt_inv_pa[4:0]),
1634
                  .lsu_cpx_pkt_ifill_type(lsu_cpx_pkt_ifill_type),
1635
                  .lsu_cpx_pkt_atomic   (lsu_cpx_pkt_atomic),
1636
                  .lsu_cpx_pkt_binit_st (lsu_cpx_pkt_binit_st),
1637
                  .lsu_cpx_pkt_prefetch (lsu_cpx_pkt_prefetch),
1638
                  .lsu_dfq_byp_binit_st (lsu_dfq_byp_binit_st),
1639
                  .lsu_tlbop_force_swo  (lsu_tlbop_force_swo),
1640
                  .lsu_iobrdge_tap_rq_type(lsu_iobrdge_tap_rq_type[7:3]),
1641
                  .lsu_dcache_tag_perror_g(lsu_dcache_tag_perror_g),
1642
                  .lsu_dcache_data_perror_g(lsu_dcache_data_perror_g),
1643
                  .lsu_cpx_pkt_perror_iinv(lsu_cpx_pkt_perror_iinv),
1644
                  .lsu_cpx_pkt_perror_dinv(lsu_cpx_pkt_perror_dinv),
1645
                  .lsu_cpx_pkt_perror_set(lsu_cpx_pkt_perror_set[1:0]),
1646
                  .lsu_l2fill_fpld_e    (lsu_l2fill_fpld_e),     // Templated
1647
                  .lsu_cpx_pkt_strm_ack (lsu_cpx_pkt_strm_ack),
1648
                  .ifu_lsu_memref_d     (ifu_lsu_memref_d),
1649
                  .lsu_fwdpkt_pcx_rq_sel(lsu_fwdpkt_pcx_rq_sel),
1650
                  .lsu_imiss_pcx_rq_sel_d1(lsu_imiss_pcx_rq_sel_d1),
1651
                  .lsu_dfq_byp_cpx_inv  (lsu_dfq_byp_cpx_inv),
1652
                  .lsu_dfq_byp_stack_adr_b54(lsu_dfq_byp_stack_adr_b54[1:0]),
1653
                  .lsu_dfq_byp_stack_wrway(lsu_dfq_byp_stack_wrway[1:0]),
1654
                  .lsu_dfq_rdata_st_ack_type(dfq_rdata[`DFQ_WIDTH-4]), // Templated
1655
                  .lsu_dfq_rdata_stack_dcfill_vld(dfq_rdata[130]), // Templated
1656
                  .lsu_dfq_rdata_stack_iinv_vld(dfq_rdata[128]), // Templated
1657
                  .lsu_dfq_rdata_cpuid  (dfq_rdata[`CPX_INV_CID_HI:`CPX_INV_CID_LO]), // Templated
1658
                  .lsu_dfq_byp_atm      (lsu_dfq_byp_atm),
1659
                  .lsu_ld_inst_vld_g    (lsu_ld_inst_vld_g[3:0]),
1660
                  .lsu_dfq_rdata_type   (dfq_rdata[`DFQ_WIDTH-1:`DFQ_WIDTH-6]), // Templated
1661
                  .lsu_dfq_rdata_invwy_vld(dfq_rdata[`CPX_WYVLD]), // Templated
1662
                  .ifu_lsu_fwd_data_vld (ifu_lsu_fwd_data_vld),
1663
                  .ifu_lsu_fwd_wr_ack   (ifu_lsu_fwd_wr_ack),
1664
                  .lsu_dfq_rdata_rq_type(dfq_rdata[`CPX_WIDTH-2:`CPX_WIDTH-5]), // Templated
1665
                  .lsu_dfq_rdata_b103   (dfq_rdata[103]),        // Templated
1666
                  .sehold               (sehold));
1667 95 fafa1971
 
1668 113 albert.wat
`else // !`ifdef FPGA_SYN_1THREAD
1669 95 fafa1971
 
1670
   lsu_qctl2 qctl2  (
1671
                  .so                   (scan1_1),
1672
                  .si                   (si1),
1673
                  .lsu_cpx_stack_dcfill_vld_b130  (lsu_cpx_stack_dcfill_vld_b130),
1674
 
1675 113 albert.wat
                  .cpx_spc_data_cx_b144to140  (cpx_spc_data_cx[`CPX_WIDTH-1:140]),
1676 95 fafa1971
                  .cpx_spc_data_cx_b138       (cpx_spc_data_cx[138]),
1677 113 albert.wat
                  .cpx_spc_data_cx_b135to134  (cpx_spc_data_cx[`CPX_TH_HI:`CPX_TH_LO]),
1678
                  .cpx_spc_data_cx_b133       (cpx_spc_data_cx[`CPX_WYVLD]),
1679 95 fafa1971
                  .cpx_spc_data_cx_b130       (cpx_spc_data_cx[130]),
1680
                  .cpx_spc_data_cx_b129       (cpx_spc_data_cx[129]),
1681
                  .cpx_spc_data_cx_b128       (cpx_spc_data_cx[128]),
1682 113 albert.wat
                  .cpx_spc_data_cx_b125       (cpx_spc_data_cx[`CPX_BINIT_STACK]),
1683
                  .cpx_spc_data_cx_b124to123  (cpx_spc_data_cx[`CPX_PERR_DINV+1:`CPX_PERR_DINV]),
1684
                  .cpx_spc_data_cx_b120to118  (cpx_spc_data_cx[`CPX_INV_CID_HI:`CPX_INV_CID_LO]),
1685 95 fafa1971
                  .cpx_spc_data_cx_b71to70    (cpx_spc_data_cx[71:70]),
1686
                  .cpx_spc_data_cx_b0         (cpx_spc_data_cx[0]),
1687
                  .cpx_spc_data_cx_b4         (cpx_spc_data_cx[4]),
1688
                  .cpx_spc_data_cx_b8         (cpx_spc_data_cx[8]),
1689
                  .cpx_spc_data_cx_b12        (cpx_spc_data_cx[12]),
1690
                  .cpx_spc_data_cx_b16        (cpx_spc_data_cx[16]),
1691
                  .cpx_spc_data_cx_b20        (cpx_spc_data_cx[20]),
1692
                  .cpx_spc_data_cx_b24        (cpx_spc_data_cx[24]),
1693
                  .cpx_spc_data_cx_b28        (cpx_spc_data_cx[28]),
1694
 
1695
                  .cpx_spc_data_cx_b32        (cpx_spc_data_cx[32]),
1696
                  .cpx_spc_data_cx_b35        (cpx_spc_data_cx[35]),
1697
                  .cpx_spc_data_cx_b38        (cpx_spc_data_cx[38]),
1698
                  .cpx_spc_data_cx_b41        (cpx_spc_data_cx[41]),
1699
                  .cpx_spc_data_cx_b44        (cpx_spc_data_cx[44]),
1700
                  .cpx_spc_data_cx_b47        (cpx_spc_data_cx[47]),
1701
                  .cpx_spc_data_cx_b50        (cpx_spc_data_cx[50]),
1702
                  .cpx_spc_data_cx_b53        (cpx_spc_data_cx[53]),
1703
 
1704
                  .cpx_spc_data_cx_b56        (cpx_spc_data_cx[56]),
1705
                  .cpx_spc_data_cx_b60        (cpx_spc_data_cx[60]),
1706
                  .cpx_spc_data_cx_b64        (cpx_spc_data_cx[64]),
1707
                  .cpx_spc_data_cx_b68        (cpx_spc_data_cx[68]),
1708
                  .cpx_spc_data_cx_b72        (cpx_spc_data_cx[72]),
1709
                  .cpx_spc_data_cx_b76        (cpx_spc_data_cx[76]),
1710
                  .cpx_spc_data_cx_b80        (cpx_spc_data_cx[80]),
1711
                  .cpx_spc_data_cx_b84        (cpx_spc_data_cx[84]),
1712
 
1713
                  .cpx_spc_data_cx_b88        (cpx_spc_data_cx[88]),
1714
                  .cpx_spc_data_cx_b91        (cpx_spc_data_cx[91]),
1715
                  .cpx_spc_data_cx_b94        (cpx_spc_data_cx[94]),
1716
                  .cpx_spc_data_cx_b97        (cpx_spc_data_cx[97]),
1717
                  .cpx_spc_data_cx_b100       (cpx_spc_data_cx[100]),
1718
                  .cpx_spc_data_cx_b103       (cpx_spc_data_cx[103]),
1719
                  .cpx_spc_data_cx_b106       (cpx_spc_data_cx[106]),
1720
                  .cpx_spc_data_cx_b109       (cpx_spc_data_cx[109]),
1721
 
1722
                  .cpx_spc_data_cx_b1         (cpx_spc_data_cx[1]),
1723
                  .cpx_spc_data_cx_b5         (cpx_spc_data_cx[5]),
1724
                  .cpx_spc_data_cx_b9         (cpx_spc_data_cx[9]),
1725
                  .cpx_spc_data_cx_b13        (cpx_spc_data_cx[13]),
1726
                  .cpx_spc_data_cx_b17        (cpx_spc_data_cx[17]),
1727
                  .cpx_spc_data_cx_b21        (cpx_spc_data_cx[21]),
1728
                  .cpx_spc_data_cx_b25        (cpx_spc_data_cx[25]),
1729
                  .cpx_spc_data_cx_b29        (cpx_spc_data_cx[29]),
1730
 
1731
                  .cpx_spc_data_cx_b57        (cpx_spc_data_cx[57]),
1732
                  .cpx_spc_data_cx_b61        (cpx_spc_data_cx[61]),
1733
                  .cpx_spc_data_cx_b65        (cpx_spc_data_cx[65]),
1734
                  .cpx_spc_data_cx_b69        (cpx_spc_data_cx[69]),
1735
                  .cpx_spc_data_cx_b73        (cpx_spc_data_cx[73]),
1736
                  .cpx_spc_data_cx_b77        (cpx_spc_data_cx[77]),
1737
                  .cpx_spc_data_cx_b81        (cpx_spc_data_cx[81]),
1738
                  .cpx_spc_data_cx_b85        (cpx_spc_data_cx[85]),
1739
 
1740
                  .lsu_cpx_stack_icfill_vld(lsu_cpx_stack_icfill_vld),
1741
                  /*AUTOINST*/
1742
                  // Outputs
1743
                  .lsu_fwd_rply_sz1_unc (lsu_fwd_rply_sz1_unc),
1744
                  .lsu_dcache_iob_rd_w  (lsu_dcache_iob_rd_w),
1745
                  .ldd_in_dfq_out       (ldd_in_dfq_out),
1746
                  .lsu_dfq_rd_vld_d1    (lsu_dfq_rd_vld_d1),
1747
                  .dfq_byp_ff_en        (dfq_byp_ff_en),
1748
                  .lsu_dfill_data_sel_hi(lsu_dfill_data_sel_hi),
1749
                  .lsu_ifill_pkt_vld    (lsu_ifu_cpxpkt_vld_i1), // Templated
1750
                  .cpx_fwd_pkt_en_cx    (cpx_fwd_pkt_en_cx),
1751
                  .lsu_cpxpkt_type_dcd_cx(lsu_cpxpkt_type_dcd_cx[5:0]),
1752
                  .lsu_cpu_dcd_sel      (lsu_cpu_dcd_sel[7:0]),
1753
                  .lsu_cpu_uhlf_sel     (lsu_cpu_uhlf_sel),
1754
                  .lsu_iobrdge_rply_data_sel(lsu_iobrdge_rply_data_sel[2:0]),
1755
                  .lsu_iobrdge_fwd_pkt_vld(lsu_iobrdge_fwd_pkt_vld),
1756
                  .lsu_tlu_cpx_vld      (lsu_tlu_cpx_vld),
1757
                  .lsu_tlu_cpx_req      (lsu_tlu_cpx_req[3:0]),
1758
                  .lsu_tlu_intpkt       (lsu_tlu_intpkt[17:0]),
1759
                  .ld_sec_active        (ld_sec_active),
1760
                  .dfq_byp_sel          (dfq_byp_sel[3:0]),
1761
                  .lsu_cpx_ld_dtag_perror_e(lsu_cpx_ld_dtag_perror_e),
1762
                  .lsu_cpx_ld_dcache_perror_e(lsu_cpx_ld_dcache_perror_e),
1763
                  .lsu_exu_rd_m         (lsu_exu_rd_m[4:0]),
1764
                  .lsu_spu_strm_ack_cmplt(lsu_spu_strm_ack_cmplt[1:0]),
1765
                  .lsu_atm_st_cmplt_e   (lsu_atm_st_cmplt_e),
1766
                  .dva_svld_e           (dva_svld_e),
1767
                  .dfq_wptr_vld         (dfq_wptr_vld),
1768
                  .dfq_wptr             (dfq_wptr[4:0]),
1769
                  .lsu_dfq_flsh_cmplt   (lsu_dfq_flsh_cmplt[3:0]),
1770
                  .dfq_rptr_vld         (dfq_rptr_vld),
1771
                  .dfq_rptr             (dfq_rptr[4:0]),
1772
                  .lsu_ifu_stallreq     (lsu_ifu_stallreq),
1773
                  .dva_snp_addr_e       (dva_snp_addr_e[4:0]),
1774
                  .lsu_st_ack_dq_stb    (lsu_st_ack_dq_stb[3:0]),
1775
                  .lsu_cpx_rmo_st_ack   (lsu_cpx_rmo_st_ack[3:0]),
1776
                  .lsu_st_wr_dcache     (lsu_st_wr_dcache),
1777
                  .cpx_st_ack_tid0      (cpx_st_ack_tid0),
1778
                  .cpx_st_ack_tid1      (cpx_st_ack_tid1),
1779
                  .cpx_st_ack_tid2      (cpx_st_ack_tid2),
1780
                  .cpx_st_ack_tid3      (cpx_st_ack_tid3),
1781
                  .lsu_tlu_l2_dmiss     (lsu_tlu_l2_dmiss[3:0]),
1782
                  .lsu_l2fill_vld       (lsu_l2fill_vld),
1783
                  .lsu_byp_ldd_oddrd_m  (lsu_byp_ldd_oddrd_m),
1784
                  .lsu_pcx_fwd_reply    (lsu_pcx_fwd_reply),
1785
                  .lsu_fwdpkt_vld       (lsu_fwdpkt_vld),
1786
                  .lsu_dcfill_active_e  (lsu_dcfill_active_e),
1787
                  .lsu_dfq_ld_vld       (lsu_dfq_ld_vld),
1788
                  .lsu_fldd_vld_en      (lsu_fldd_vld_en),
1789
                  .lsu_dfill_dcd_thrd   (lsu_dfill_dcd_thrd[3:0]),
1790
                  .lsu_fwdpkt_dest      (lsu_fwdpkt_dest[4:0]),
1791
                  .dva_snp_bit_wr_en_e  (dva_snp_bit_wr_en_e[15:0]),
1792
                  .lsu_cpx_spc_inv_vld  (lsu_cpx_spc_inv_vld),
1793
                  .lsu_cpx_thrdid       (lsu_cpx_thrdid[3:0]),
1794
                  .lsu_cpx_stack_dcfill_vld(lsu_cpx_stack_dcfill_vld),
1795
                  .lsu_dfq_vld_entry_w  (lsu_sscan_data[15]),    // Templated
1796
                  .lsu_dfq_st_vld       (lsu_dfq_st_vld),
1797
                  .lsu_dfq_ldst_vld     (lsu_dfq_ldst_vld),
1798
                  .lsu_qdp2_dfq_ld_vld  (lsu_qdp2_dfq_ld_vld),
1799
                  .lsu_qdp2_dfq_st_vld  (lsu_qdp2_dfq_st_vld),
1800
                  .lsu_dfq_vld          (lsu_dfq_vld),
1801
                  .lsu_dfq_byp_ff_en    (lsu_dfq_byp_ff_en),
1802
                  // Inputs
1803
                  .rclk                 (clk),                   // Templated
1804
                  .grst_l               (grst_l),
1805
                  .arst_l               (arst_l),
1806
                  .se                   (se),
1807
                  .rst_tri_en           (mux_drive_disable),     // Templated
1808
                  .ld_inst_vld_e        (ifu_lsu_ld_inst_e),     // Templated
1809
                  .ifu_pcx_pkt_b51      (ifu_lsu_pcxpkt_e[51]),  // Templated
1810
                  .ifu_pcx_pkt_b41t40   (ifu_lsu_pcxpkt_e[41:40]), // Templated
1811
                  .ifu_pcx_pkt_b10t5    (ifu_lsu_pcxpkt_e[10:5]), // Templated
1812
                  .lsu_dfq_rdata_flush_bit(dfq_rdata[136]),      // Templated
1813
                  .lsu_dfq_rdata_b17_b0 (dfq_rdata[17:0]),       // Templated
1814
                  .ifu_lsu_rd_e         (ifu_lsu_rd_e[4:0]),
1815
                  .lmq_ld_rd1           (lmq_ld_rd1[4:0]),
1816
                  .lmq_ldd_vld          (lmq_ldd_vld),
1817
                  .dfq_tid              (dfq_tid[1:0]),
1818
                  .const_cpuid          (const_cpuid[2:0]),
1819
                  .lmq_ld_addr_b3       (lmq_ld_addr_b3),
1820
                  .ifu_lsu_ibuf_busy    (ifu_lsu_ibuf_busy),
1821
                  .ifu_lsu_inv_clear    (ifu_lsu_inv_clear),
1822
                  .lsu_byp_misc_sz_e    (lsu_byp_misc_sz_e[1:0]),
1823
                  .lsu_dfq_byp_tid      (lsu_dfq_byp_tid[1:0]),
1824
                  .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
1825
                  .lsu_cpx_pkt_l2miss   (lsu_cpx_pkt_l2miss),
1826
                  .lsu_cpx_pkt_tid      (lsu_cpx_pkt_tid[1:0]),
1827
                  .lsu_cpx_pkt_invwy    (lsu_cpx_pkt_invwy[1:0]),
1828
                  .lsu_dfq_byp_flush    (lsu_dfq_byp_flush),
1829
                  .lsu_dfq_byp_type     (lsu_dfq_byp_type[5:0]),
1830
                  .lsu_dfq_byp_invwy_vld(lsu_dfq_byp_invwy_vld),
1831
                  .lsu_cpu_inv_data_b13to9(lsu_cpu_inv_data_b13to9[13:9]),
1832
                  .lsu_cpu_inv_data_b7to2(lsu_cpu_inv_data_b7to2[7:2]),
1833
                  .lsu_cpu_inv_data_b0  (lsu_cpu_inv_data_b0),
1834
                  .lsu_cpx_pkt_inv_pa   (lsu_cpx_pkt_inv_pa[4:0]),
1835
                  .lsu_cpx_pkt_ifill_type(lsu_cpx_pkt_ifill_type),
1836
                  .lsu_cpx_pkt_atomic   (lsu_cpx_pkt_atomic),
1837
                  .lsu_cpx_pkt_binit_st (lsu_cpx_pkt_binit_st),
1838
                  .lsu_cpx_pkt_prefetch (lsu_cpx_pkt_prefetch),
1839
                  .lsu_dfq_byp_binit_st (lsu_dfq_byp_binit_st),
1840
                  .lsu_tlbop_force_swo  (lsu_tlbop_force_swo),
1841
                  .lsu_iobrdge_tap_rq_type(lsu_iobrdge_tap_rq_type[7:3]),
1842
                  .lsu_dcache_tag_perror_g(lsu_dcache_tag_perror_g),
1843
                  .lsu_dcache_data_perror_g(lsu_dcache_data_perror_g),
1844
                  .lsu_cpx_pkt_perror_iinv(lsu_cpx_pkt_perror_iinv),
1845
                  .lsu_cpx_pkt_perror_dinv(lsu_cpx_pkt_perror_dinv),
1846
                  .lsu_cpx_pkt_perror_set(lsu_cpx_pkt_perror_set[1:0]),
1847
                  .lsu_l2fill_fpld_e    (lsu_l2fill_fpld_e),     // Templated
1848
                  .lsu_cpx_pkt_strm_ack (lsu_cpx_pkt_strm_ack),
1849
                  .ifu_lsu_memref_d     (ifu_lsu_memref_d),
1850
                  .lsu_fwdpkt_pcx_rq_sel(lsu_fwdpkt_pcx_rq_sel),
1851
                  .lsu_imiss_pcx_rq_sel_d1(lsu_imiss_pcx_rq_sel_d1),
1852
                  .lsu_dfq_byp_cpx_inv  (lsu_dfq_byp_cpx_inv),
1853
                  .lsu_dfq_byp_stack_adr_b54(lsu_dfq_byp_stack_adr_b54[1:0]),
1854
                  .lsu_dfq_byp_stack_wrway(lsu_dfq_byp_stack_wrway[1:0]),
1855 113 albert.wat
                  .lsu_dfq_rdata_st_ack_type(dfq_rdata[`DFQ_WIDTH-4]), // Templated
1856 95 fafa1971
                  .lsu_dfq_rdata_stack_dcfill_vld(dfq_rdata[130]), // Templated
1857
                  .lsu_dfq_rdata_stack_iinv_vld(dfq_rdata[128]), // Templated
1858 113 albert.wat
                  .lsu_dfq_rdata_cpuid  (dfq_rdata[`CPX_INV_CID_HI:`CPX_INV_CID_LO]), // Templated
1859 95 fafa1971
                  .lsu_dfq_byp_atm      (lsu_dfq_byp_atm),
1860
                  .lsu_ld_inst_vld_g    (lsu_ld_inst_vld_g[3:0]),
1861 113 albert.wat
                  .lsu_dfq_rdata_type   (dfq_rdata[`DFQ_WIDTH-1:`DFQ_WIDTH-6]), // Templated
1862
                  .lsu_dfq_rdata_invwy_vld(dfq_rdata[`CPX_WYVLD]), // Templated
1863 95 fafa1971
                  .ifu_lsu_fwd_data_vld (ifu_lsu_fwd_data_vld),
1864
                  .ifu_lsu_fwd_wr_ack   (ifu_lsu_fwd_wr_ack),
1865 113 albert.wat
                  .lsu_dfq_rdata_rq_type(dfq_rdata[`CPX_WIDTH-2:`CPX_WIDTH-5]), // Templated
1866 95 fafa1971
                  .lsu_dfq_rdata_b103   (dfq_rdata[103]),        // Templated
1867
                  .sehold               (sehold));
1868 113 albert.wat
`endif // !`ifdef FPGA_SYN_1THREAD
1869 95 fafa1971
 
1870
/*   cmp_sram_redhdr AUTO_TEMPLATE(
1871
                            .fuse_ary_wren(fuse_dcd_wren),
1872
                            .fuse_ary_rid(fuse_dcd_rid[5:0]),
1873
                            .fuse_ary_repair_value(fuse_dcd_repair_value[7:0]),
1874
                            .fuse_ary_repair_en(fuse_dcd_repair_en[1:0]),
1875
                            .spc_efc_xfuse_data(spc_efc_dfuse_data),
1876
 
1877
                            .efc_spc_xfuse_data(efc_spc_dfuse_data),
1878
                            .efc_spc_xfuse_ashift(efc_spc_dfuse_ashift),
1879
                            .efc_spc_xfuse_dshift(efc_spc_dfuse_dshift),
1880
                            .ary_fuse_repair_value(dcd_fuse_repair_value[7:0]),
1881
                            .ary_fuse_repair_en(dcd_fuse_repair_en[1:0]),
1882
                            .scanin   (si0),
1883
                            .rclk     (clk));
1884
 */
1885
 
1886
cmp_sram_redhdr dcdhdr(
1887
                       .scanout         (scan0_1),
1888
                       /*AUTOINST*/
1889
                       // Outputs
1890
                       .fuse_ary_wren   (fuse_dcd_wren),         // Templated
1891
                       .fuse_ary_rid    (fuse_dcd_rid[5:0]),     // Templated
1892
                       .fuse_ary_repair_value(fuse_dcd_repair_value[7:0]), // Templated
1893
                       .fuse_ary_repair_en(fuse_dcd_repair_en[1:0]), // Templated
1894
                       .spc_efc_xfuse_data(spc_efc_dfuse_data),  // Templated
1895
                       // Inputs
1896
                       .rclk            (clk),                   // Templated
1897
                       .se              (se),
1898
                       .scanin          (si0),                   // Templated
1899
                       .arst_l          (arst_l),
1900
                       .testmode_l      (testmode_l),
1901
                       .efc_spc_fuse_clk1(efc_spc_fuse_clk1),
1902
                       .efc_spc_fuse_clk2(efc_spc_fuse_clk2),
1903
                       .efc_spc_xfuse_data(efc_spc_dfuse_data),  // Templated
1904
                       .efc_spc_xfuse_ashift(efc_spc_dfuse_ashift), // Templated
1905
                       .efc_spc_xfuse_dshift(efc_spc_dfuse_dshift), // Templated
1906
                       .ary_fuse_repair_value(dcd_fuse_repair_value[7:0]), // Templated
1907
                       .ary_fuse_repair_en(dcd_fuse_repair_en[1:0])); // Templated
1908
/* bw_r_dcd  AUTO_TEMPLATE (
1909
                   .rst_tri_en           (mem_write_disable),
1910
                   //.sehold               (),
1911
                   .rclk                 (clk),
1912
                   .dcache_alt_addr_e    (lsu_dcache_fill_addr_e[10:3]),
1913
                   .dcache_alt_rsel_way_e(lsu_bist_rsel_way_e[3:0]),
1914
                   .dcache_rd_addr_e     (exu_lsu_early_va_e[10:3]),
1915
                   .dcache_rsel_way_wb   (cache_way_hit[3:0]),
1916
                   .dcache_wdata_e       (lsu_dcache_fill_data_e[143:0]),
1917
                   .dcache_wr_rway_e     (lsu_dcache_fill_way_e[3:0]),
1918
                   .dcache_wvld_e        (lsu_dcache_wr_vld_e));
1919
*/
1920
 
1921
bw_r_dcd dcache (
1922
                 .so                    (scan1_2),
1923
                 .si                    (scan1_1),
1924
                 /*AUTOINST*/
1925
                 // Outputs
1926
                 .dcache_rdata_wb       (dcache_rdata_wb[63:0]),
1927
                 .dcache_rparity_wb     (dcache_rparity_wb[7:0]),
1928
                 .dcache_rparity_err_wb (dcache_rparity_err_wb),
1929
                 .dcache_rdata_msb_w0_m (dcache_rdata_msb_w0_m[7:0]),
1930
                 .dcache_rdata_msb_w1_m (dcache_rdata_msb_w1_m[7:0]),
1931
                 .dcache_rdata_msb_w2_m (dcache_rdata_msb_w2_m[7:0]),
1932
                 .dcache_rdata_msb_w3_m (dcache_rdata_msb_w3_m[7:0]),
1933
                 .dcd_fuse_repair_value (dcd_fuse_repair_value[7:0]),
1934
                 .dcd_fuse_repair_en    (dcd_fuse_repair_en[1:0]),
1935
                 // Inputs
1936
                 .dcache_rd_addr_e      (exu_lsu_early_va_e[10:3]), // Templated
1937
                 .dcache_alt_addr_e     (lsu_dcache_fill_addr_e[10:3]), // Templated
1938
                 .dcache_rvld_e         (dcache_rvld_e),
1939
                 .dcache_wvld_e         (lsu_dcache_wr_vld_e),   // Templated
1940
                 .dcache_wdata_e        (lsu_dcache_fill_data_e[143:0]), // Templated
1941
                 .dcache_wr_rway_e      (lsu_dcache_fill_way_e[3:0]), // Templated
1942
                 .dcache_byte_wr_en_e   (dcache_byte_wr_en_e[15:0]),
1943
                 .dcache_alt_rsel_way_e (lsu_bist_rsel_way_e[3:0]), // Templated
1944
                 .dcache_rsel_way_wb    (cache_way_hit[3:0]),    // Templated
1945
                 .dcache_alt_mx_sel_e   (dcache_alt_mx_sel_e),
1946
                 .se                    (se),
1947
                 .sehold                (sehold),
1948
                 .rst_tri_en            (mem_write_disable),     // Templated
1949
                 .arst_l                (arst_l),
1950
                 .rclk                  (clk),                   // Templated
1951
                 .dcache_alt_data_w0_m  (dcache_alt_data_w0_m[63:0]),
1952
                 .dcache_arry_data_sel_m(dcache_arry_data_sel_m),
1953
                 .efc_spc_fuse_clk1     (efc_spc_fuse_clk1),
1954
                 .fuse_dcd_wren         (fuse_dcd_wren),
1955
                 .fuse_dcd_rid          (fuse_dcd_rid[2:0]),
1956
                 .fuse_dcd_repair_value (fuse_dcd_repair_value[7:0]),
1957
                 .fuse_dcd_repair_en    (fuse_dcd_repair_en[1:0]));
1958
/* bw_r_rf16x32  AUTO_TEMPLATE (
1959
             .rst_tri_en           (mem_write_disable),
1960
             .rclk             (clk),
1961
             .bit_wen          (dva_bit_wr_en_e[15:0]),
1962
             .din                (dva_din_e),
1963
             .dout               (dva_vld_m[3:0]),
1964
             .rd_adr1            (exu_lsu_early_va_e[10:4]),
1965
             .rd_adr1_sel        (1'b1),
1966
             .rd_adr2            (7'b0),
1967
             .rd_en              (ifu_lsu_ld_inst_e),
1968
             .reset_l            (arst_l),
1969
             //.sehold             (),
1970
             .wr_adr             (dva_wr_adr_e[10:6]),
1971
             .wr_en              (lsu_dtagv_wr_vld_e));
1972
*/
1973
 
1974
bw_r_rf16x32 dva (
1975
                  .so                   (short_scan0_1),
1976
                  .si                   (short_si0),
1977
                   /*AUTOINST*/
1978
                  // Outputs
1979
                  .dout                 (dva_vld_m[3:0]),        // Templated
1980
                  // Inputs
1981
                  .rclk                 (clk),                   // Templated
1982
                  .se                   (se),
1983
                  .reset_l              (arst_l),                // Templated
1984
                  .sehold               (sehold),
1985
                  .rst_tri_en           (mem_write_disable),     // Templated
1986
                  .rd_adr1              (exu_lsu_early_va_e[10:4]), // Templated
1987
                  .rd_adr2              (7'b0),                  // Templated
1988
                  .rd_adr1_sel          (1'b1),                  // Templated
1989
                  .rd_en                (ifu_lsu_ld_inst_e),     // Templated
1990
                  .wr_adr               (dva_wr_adr_e[10:6]),    // Templated
1991
                  .wr_en                (lsu_dtagv_wr_vld_e),    // Templated
1992
                  .bit_wen              (dva_bit_wr_en_e[15:0]), // Templated
1993
                  .din                  (dva_din_e));             // Templated
1994
/* bw_r_idct  AUTO_TEMPLATE (
1995
               .rst_tri_en           (mem_write_disable),
1996
               //.sehold                  (),
1997
               .rclk                    (clk),
1998
               .adj                     (lsu_dctag_mrgn[3:0]),
1999
               .index0_x                (exu_lsu_early_va_e[10:4]),
2000
               .index1_x                (lsu_dcache_fill_addr_e[10:4]),
2001
               .index_sel_x             (lsu_dtag_index_sel_x_e),
2002
               .rdreq_x                 (lsu_ldst_inst_vld_e),
2003
               .rdtag_w0_y              (dtag_rdata_w0_m[32:0]),
2004
               .rdtag_w1_y              (dtag_rdata_w1_m[32:0]),
2005
               .rdtag_w2_y              (dtag_rdata_w2_m[32:0]),
2006
               .rdtag_w3_y              (dtag_rdata_w3_m[32:0]),
2007
               .wrreq_x                 (lsu_dtag_wrreq_x_e),
2008
               //.wrtag_w0_y                 ({3'b000,dtag_wdata_m[29:0]}),
2009
               //.wrtag_w1_y                 ({3'b000,dtag_wdata_m[29:0]}),
2010
               //.wrtag_w2_y                 ({3'b000,dtag_wdata_m[29:0]}),
2011
               //.wrtag_w3_y                 ({3'b000,dtag_wdata_m[29:0]}),
2012
               .dec_wrway_x                (lsu_dcache_fill_way_e[3:0]),
2013
               .reset_l                 (arst_l));
2014
*/
2015
 
2016
bw_r_idct dtag (
2017
                .so                     (short_scan0_2),
2018
                .si                     (short_scan0_1),
2019
                .wrtag_w0_y             ({3'b000,dtag_wdata_m[29:0]}),
2020
                .wrtag_w1_y             ({3'b000,dtag_wdata_m[29:0]}),
2021
                .wrtag_w2_y             ({3'b000,dtag_wdata_m[29:0]}),
2022
                .wrtag_w3_y             ({3'b000,dtag_wdata_m[29:0]}),
2023
                /*AUTOINST*/
2024
                // Outputs
2025
                .rdtag_w0_y             (dtag_rdata_w0_m[32:0]), // Templated
2026
                .rdtag_w1_y             (dtag_rdata_w1_m[32:0]), // Templated
2027
                .rdtag_w2_y             (dtag_rdata_w2_m[32:0]), // Templated
2028
                .rdtag_w3_y             (dtag_rdata_w3_m[32:0]), // Templated
2029
                // Inputs
2030
                .rclk                   (clk),                   // Templated
2031
                .se                     (se),
2032
                .reset_l                (arst_l),                // Templated
2033
                .sehold                 (sehold),
2034
                .rst_tri_en             (mem_write_disable),     // Templated
2035
                .index0_x               (exu_lsu_early_va_e[10:4]), // Templated
2036
                .index1_x               (lsu_dcache_fill_addr_e[10:4]), // Templated
2037
                .index_sel_x            (lsu_dtag_index_sel_x_e), // Templated
2038
                .dec_wrway_x            (lsu_dcache_fill_way_e[3:0]), // Templated
2039
                .rdreq_x                (lsu_ldst_inst_vld_e),   // Templated
2040
                .wrreq_x                (lsu_dtag_wrreq_x_e),    // Templated
2041
                .adj                    (lsu_dctag_mrgn[3:0]));   // Templated
2042
/*lsu_tlbdp  AUTO_TEMPLATE (
2043
               .rclk  (clk));
2044
*/
2045
 
2046
lsu_tlbdp tlbdp (
2047
                 .so                    (scan1_3),
2048
                 .si                    (scan1_2),
2049
                 .tlb_rd_tte_data_parity  (tlb_rd_tte_data[42]),
2050
                 .tlb_rd_tte_tag_parity   (tlb_rd_tte_tag[54]),
2051
                 /*AUTOINST*/
2052
                 // Outputs
2053
                 .lsu_tlb_rd_data       (lsu_tlb_rd_data[63:0]),
2054
                 .tlb_pgnum_buf         (tlb_pgnum_buf[39:10]),
2055
                 .tlb_pgnum_buf2        (tlb_pgnum_buf2[39:37]),
2056
                 .tlb_rd_tte_data_ie_buf(tlb_rd_tte_data_ie_buf),
2057
                 .stb_cam_vld           (stb_cam_vld),
2058
                 .tte_data_parity_error (tte_data_parity_error),
2059
                 .tte_tag_parity_error  (tte_tag_parity_error),
2060
                 .cache_way_hit_buf1    (cache_way_hit_buf1[3:0]),
2061
                 .cache_way_hit_buf2    (cache_way_hit_buf2[3:0]),
2062
                 .lsu_tlu_tte_pg_sz_g   (lsu_tlu_tte_pg_sz_g[2:0]),
2063
                 // Inputs
2064
                 .rclk                  (clk),                   // Templated
2065
                 .se                    (se),
2066
                 .tlb_rd_tte_tag        (tlb_rd_tte_tag[58:0]),
2067
                 .tlb_rd_tte_data       (tlb_rd_tte_data[42:0]),
2068
                 .lsu_tlb_data_rd_vld_g (lsu_tlb_data_rd_vld_g),
2069
                 .tlb_pgnum             (tlb_pgnum[39:10]),
2070
                 .asi_internal_m        (asi_internal_m),
2071
                 .lsu_alt_space_m       (lsu_alt_space_m),
2072
                 .tlb_cam_hit           (tlb_cam_hit),
2073
                 .ifu_lsu_ld_inst_e     (ifu_lsu_ld_inst_e),
2074
                 .lsu_dtlb_bypass_e     (lsu_dtlb_bypass_e),
2075
                 .cache_way_hit         (cache_way_hit[3:0]));
2076
 
2077
/*
2078
lsu_tagdp AUTO_TEMPLATE (
2079
                  .dva_vld_m              (dva_vld_m_bf[3:0]),
2080
                  .rclk                   (clk));
2081
*/
2082
 
2083
lsu_tagdp tagdp (
2084
                 .so                    (scan1_4),
2085
                 .si                    (scan1_3),
2086
                             .lsu_local_ldxa_data_g ({15'b0,lsu_local_ldxa_data_g[32:0]}),
2087
                 /*AUTOINST*/
2088
                 // Outputs
2089
                 .lsu_misc_rdata_w2     (lsu_misc_rdata_w2[63:0]),
2090
                 .lsu_rd_dtag_parity_g  (lsu_rd_dtag_parity_g[3:0]),
2091
                 // Inputs
2092
                 .rclk                  (clk),                   // Templated
2093
                 .se                    (se),
2094
                 .lsu_va_wtchpt_addr    (lsu_va_wtchpt_addr[47:3]),
2095
                 .lsu_va_wtchpt_sel_g   (lsu_va_wtchpt_sel_g),
2096
                 .dva_vld_m             (dva_vld_m_bf[3:0]),     // Templated
2097
                 .dtag_rdata_w0_m       (dtag_rdata_w0_m[29:0]),
2098
                 .dtag_rdata_w1_m       (dtag_rdata_w1_m[29:0]),
2099
                 .dtag_rdata_w2_m       (dtag_rdata_w2_m[29:0]),
2100
                 .dtag_rdata_w3_m       (dtag_rdata_w3_m[29:0]),
2101
                 .lsu_dtag_rsel_m       (lsu_dtag_rsel_m[3:0]),
2102
                 .lsu_local_ldxa_sel_g  (lsu_local_ldxa_sel_g),
2103
                 .lsu_tlb_rd_data       (lsu_tlb_rd_data[63:0]),
2104
                 .lsu_local_ldxa_tlbrd_sel_g(lsu_local_ldxa_tlbrd_sel_g),
2105
                 .lsu_local_diagnstc_tagrd_sel_g(lsu_local_diagnstc_tagrd_sel_g));
2106
 
2107
/*
2108
lsu_excpctl AUTO_TEMPLATE (
2109
                .ifu_tlu_inst_vld_m     (ifu_tlu_inst_vld_m_bf2),
2110
                .tlb_rd_tte_data_ebit   (tlb_rd_tte_data[`STLB_DATA_E]),
2111
                .tlb_rd_tte_data_pbit   (tlb_rd_tte_data[`STLB_DATA_P]),
2112
                .tlb_rd_tte_data_nfobit (tlb_rd_tte_data[`STLB_DATA_NFO]),
2113
                .tlb_rd_tte_data_wbit   (tlb_rd_tte_data[`STLB_DATA_W]),
2114
                .lsu_ldst_va_b39_m      (lsu_ldst_va_m_buf[39]),
2115
                .tlb_pgnum_b39          (tlb_pgnum[39]),
2116
                .lsu_sun4r_va_m_l             (lsu_ldst_va_m[10]),
2117
                      .lsu_sun4r_pgsz_b2t0_e  ({exu_lsu_rs3_data_e[48],exu_lsu_rs3_data_e[62:61]}),
2118
                      .lsu_sun4v_pgsz_b2t0_e  (exu_lsu_rs3_data_e[2:0]),
2119
                .ld_inst_vld_e          (ifu_lsu_ld_inst_e),
2120
                .st_inst_vld_e          (ifu_lsu_st_inst_e),
2121
                .rclk                   (clk));
2122
*/
2123
 
2124
lsu_excpctl excpctl (
2125
                     .so                (short_scan0_3),
2126
                     .si                (short_scan0_2),
2127
 
2128
                 /*AUTOINST*/
2129
                     // Outputs
2130
                     .lsu_exu_st_dtlb_perr_g(lsu_exu_st_dtlb_perr_g),
2131
                     .lsu_ffu_st_dtlb_perr_g(lsu_ffu_st_dtlb_perr_g),
2132
                     .lsu_defr_trp_taken_g(lsu_defr_trp_taken_g),
2133
                     .lsu_tlu_defr_trp_taken_g(lsu_tlu_defr_trp_taken_g),
2134
                     .lsu_mmu_defr_trp_taken_g(lsu_mmu_defr_trp_taken_g),
2135
                     .lsu_st_dtlb_perr_g(lsu_st_dtlb_perr_g[3:0]),
2136
                     .lsu_dmmu_sfsr_trp_wr(lsu_dmmu_sfsr_trp_wr[3:0]),
2137
                     .lsu_dsfsr_din_g   (lsu_dsfsr_din_g[23:0]),
2138
                     .lsu_tlb_perr_ld_rq_kill_w(lsu_tlb_perr_ld_rq_kill_w),
2139
                     .lsu_spu_early_flush_g(lsu_spu_early_flush_g),
2140
                     .lsu_local_early_flush_g(lsu_local_early_flush_g),
2141
                     .lsu_tlu_early_flush_w(lsu_tlu_early_flush_w),
2142
                     .lsu_tlu_early_flush2_w(lsu_tlu_early_flush2_w),
2143
                     .lsu_ttype_vld_m2  (lsu_ttype_vld_m2),
2144
                     .lsu_ttype_vld_m2_bf1(lsu_ttype_vld_m2_bf1),
2145
                     .lsu_ifu_flush_pipe_w(lsu_ifu_flush_pipe_w),
2146
                     .lsu_exu_flush_pipe_w(lsu_exu_flush_pipe_w),
2147
                     .lsu_mmu_flush_pipe_w(lsu_mmu_flush_pipe_w),
2148
                     .lsu_ffu_flush_pipe_w(lsu_ffu_flush_pipe_w),
2149
                     .lsu_tlu_wtchpt_trp_g(lsu_tlu_wtchpt_trp_g),
2150
                     .lsu_tlu_dmmu_miss_g(lsu_tlu_dmmu_miss_g),
2151
                     .lsu_tlu_misalign_addr_ldst_atm_m(lsu_tlu_misalign_addr_ldst_atm_m),
2152
                     .lsu_tlu_daccess_excptn_g(lsu_tlu_daccess_excptn_g),
2153
                     .lsu_tlu_daccess_prot_g(lsu_tlu_daccess_prot_g),
2154
                     .lsu_tlu_priv_action_g(lsu_tlu_priv_action_g),
2155
                     .lsu_ifu_tlb_data_su(lsu_ifu_tlb_data_su),
2156
                     .lsu_ifu_tlb_data_ue(lsu_ifu_tlb_data_ue),
2157
                     .lsu_ifu_tlb_tag_ue(lsu_ifu_tlb_tag_ue),
2158
                     .lsu_tlu_ttype_m2  (lsu_tlu_ttype_m2[8:0]),
2159
                     .lsu_tlu_ttype_vld_m2(lsu_tlu_ttype_vld_m2),
2160
                     .stb_cam_sqsh_msk  (stb_cam_sqsh_msk[7:0]),
2161
                     .stb_cam_hit_bf    (stb_cam_hit_bf),
2162
                     .stb_cam_hit_bf1   (stb_cam_hit_bf1),
2163
                     .tte_data_perror_unc(tte_data_perror_unc),
2164
                     .asi_tte_data_perror(asi_tte_data_perror),
2165
                     .asi_tte_tag_perror(asi_tte_tag_perror),
2166
                     // Inputs
2167
                     .rclk              (clk),                   // Templated
2168
                     .se                (se),
2169
                     .grst_l            (grst_l),
2170
                     .arst_l            (arst_l),
2171 113 albert.wat
                     .tlb_rd_tte_data_ebit(tlb_rd_tte_data[`STLB_DATA_E]), // Templated
2172
                     .tlb_rd_tte_data_pbit(tlb_rd_tte_data[`STLB_DATA_P]), // Templated
2173
                     .tlb_rd_tte_data_nfobit(tlb_rd_tte_data[`STLB_DATA_NFO]), // Templated
2174
                     .tlb_rd_tte_data_wbit(tlb_rd_tte_data[`STLB_DATA_W]), // Templated
2175 95 fafa1971
                     .tlb_cam_hit       (tlb_cam_hit),
2176
                     .tlb_pgnum_b39     (tlb_pgnum[39]),         // Templated
2177
                     .lsu_ldst_va_b39_m (lsu_ldst_va_m_buf[39]), // Templated
2178
                     .lsu_sun4r_va_m_l  (lsu_ldst_va_m[10]),     // Templated
2179
                     .lsu_sun4r_pgsz_b2t0_e({exu_lsu_rs3_data_e[48],exu_lsu_rs3_data_e[62:61]}), // Templated
2180
                     .lsu_sun4v_pgsz_b2t0_e(exu_lsu_rs3_data_e[2:0]), // Templated
2181
                     .tlu_early_flush_pipe_w(tlu_early_flush_pipe_w),
2182
                     .ifu_lsu_flush_w   (ifu_lsu_flush_w),
2183
                     .ifu_lsu_nceen     (ifu_lsu_nceen[3:0]),
2184
                     .lsu_tlb_asi_data_perr_g(lsu_tlb_asi_data_perr_g),
2185
                     .lsu_tlb_asi_tag_perr_g(lsu_tlb_asi_tag_perr_g),
2186
                     .stb_state_vld0    (stb_state_vld0[7:0]),
2187
                     .stb_state_vld1    (stb_state_vld1[7:0]),
2188
                     .stb_state_vld2    (stb_state_vld2[7:0]),
2189
                     .stb_state_vld3    (stb_state_vld3[7:0]),
2190
                     .ifu_tlu_thrid_e   (ifu_tlu_thrid_e[1:0]),
2191
                     .tlu_lsu_priv_trap_m(tlu_lsu_priv_trap_m),
2192
                     .tlu_lsu_pstate_priv(tlu_lsu_pstate_priv[3:0]),
2193
                     .st_inst_vld_e     (ifu_lsu_st_inst_e),     // Templated
2194
                     .ld_inst_vld_e     (ifu_lsu_ld_inst_e),     // Templated
2195
                     .ifu_lsu_alt_space_e(ifu_lsu_alt_space_e),
2196
                     .lsu_ldst_va_m     (lsu_ldst_va_m[7:0]),
2197
                     .hpv_priv_m        (hpv_priv_m),
2198
                     .hpstate_en_m      (hpstate_en_m),
2199
                     .stb_cam_hit       (stb_cam_hit),
2200
                     .dtlb_bypass_m     (dtlb_bypass_m),
2201
                     .lsu_alt_space_m   (lsu_alt_space_m),
2202
                     .atomic_m          (atomic_m),
2203
                     .ldst_dbl_m        (ldst_dbl_m),
2204
                     .fp_ldst_m         (fp_ldst_m),
2205
                     .lda_internal_m    (lda_internal_m),
2206
                     .sta_internal_m    (sta_internal_m),
2207
                     .cam_real_m        (cam_real_m),
2208
                     .data_rd_vld_g     (data_rd_vld_g),
2209
                     .tag_rd_vld_g      (tag_rd_vld_g),
2210
                     .ldst_sz_m         (ldst_sz_m[1:0]),
2211
                     .asi_internal_m    (asi_internal_m),
2212
                     .rd_only_ltlb_asi_e(rd_only_ltlb_asi_e),
2213
                     .wr_only_ltlb_asi_e(wr_only_ltlb_asi_e),
2214
                     .dfill_tlb_asi_e   (dfill_tlb_asi_e),
2215
                     .ifill_tlb_asi_e   (ifill_tlb_asi_e),
2216
                     .nofault_asi_m     (nofault_asi_m),
2217
                     .as_if_user_asi_m  (as_if_user_asi_m),
2218
                     .atomic_asi_m      (atomic_asi_m),
2219
                     .phy_use_ec_asi_m  (phy_use_ec_asi_m),
2220
                     .phy_byp_ec_asi_m  (phy_byp_ec_asi_m),
2221
                     .quad_asi_m        (quad_asi_m),
2222
                     .binit_quad_asi_m  (binit_quad_asi_m),
2223
                     .blk_asi_m         (blk_asi_m),
2224
                     .recognized_asi_m  (recognized_asi_m),
2225
                     .strm_asi_m        (strm_asi_m),
2226
                     .mmu_rd_only_asi_m (mmu_rd_only_asi_m),
2227
                     .rd_only_asi_m     (rd_only_asi_m),
2228
                     .wr_only_asi_m     (wr_only_asi_m),
2229
                     .unimp_asi_m       (unimp_asi_m),
2230
                     .lsu_nonalt_nucl_access_m(lsu_nonalt_nucl_access_m),
2231
                     .va_wtchpt_cmp_en_m(va_wtchpt_cmp_en_m),
2232
                     .lsu_va_match_b47_b32_m(lsu_va_match_b47_b32_m),
2233
                     .lsu_va_match_b31_b3_m(lsu_va_match_b31_b3_m),
2234
                     .va_wtchpt_msk_match_m(va_wtchpt_msk_match_m),
2235
                     .ifu_tlu_inst_vld_m(ifu_tlu_inst_vld_m_bf2), // Templated
2236
                     .exu_tlu_misalign_addr_jmpl_rtn_m(exu_tlu_misalign_addr_jmpl_rtn_m),
2237
                     .exu_tlu_va_oor_m  (exu_tlu_va_oor_m),
2238
                     .tlu_dsfsr_flt_vld (tlu_dsfsr_flt_vld[3:0]),
2239
                     .tlu_lsu_pstate_cle(tlu_lsu_pstate_cle[3:0]),
2240
                     .tlu_lsu_pstate_am (tlu_lsu_pstate_am[3:0]),
2241
                     .lsu_excpctl_asi_state_m(lsu_excpctl_asi_state_m[7:0]),
2242
                     .lsu_tlu_nonalt_ldst_m(lsu_tlu_nonalt_ldst_m),
2243
                     .lsu_squash_va_oor_m(lsu_squash_va_oor_m),
2244
                     .lsu_tlu_xslating_ldst_m(lsu_tlu_xslating_ldst_m),
2245
                     .lsu_tlu_ctxt_sel_m(lsu_tlu_ctxt_sel_m[2:0]),
2246
                     .lsu_tlu_write_op_m(lsu_tlu_write_op_m),
2247
                     .lsu_memref_m      (lsu_memref_m),
2248
                     .lsu_flsh_inst_m   (lsu_flsh_inst_m),
2249
                     .tte_data_parity_error(tte_data_parity_error),
2250
                     .tte_tag_parity_error(tte_tag_parity_error));
2251
 
2252
/*lsu_dctldp AUTO_TEMPLATE (
2253
               .thread0_m               (lsu_dctldp_thread0_m),
2254
               .thread1_m               (lsu_dctldp_thread1_m),
2255
               .thread2_m               (lsu_dctldp_thread2_m),
2256
               .thread3_m               (lsu_dctldp_thread3_m),
2257
               .rst_tri_en              (mux_drive_disable),
2258
               .rclk                    (clk),
2259
               .rst_l                   (dctl_rst_l),
2260
               .tlu_dtlb_tte_tag_b58t56 (tlu_dtlb_tte_tag_w2[58:56]),
2261
               .lsu_dcfill_addr_e       (lsu_dcache_fill_addr_e_err[10:4]));
2262
*/
2263
 
2264 113 albert.wat
`ifdef FPGA_SYN_1THREAD
2265
 
2266
lsu_dctldp dctldp (
2267
                   .so                  (short_scan1_2),
2268
                   .si                  (short_scan1_1),
2269
                   .lsu_iobrdge_rd_data (lsu_iobrdge_rd_data[43:0]),
2270
                   .lsu_local_ldxa_data_g(lsu_local_ldxa_data_g[47:0]),
2271
                   /*AUTOINST*/
2272
                   // Outputs
2273
                   .asi_d               (asi_d[7:0]),
2274
                   .lsu_excpctl_asi_state_m(lsu_excpctl_asi_state_m[7:0]),
2275
                   .lsu_dctl_asi_state_m(lsu_dctl_asi_state_m[7:0]),
2276
                   .lsu_spu_asi_state_e (lsu_spu_asi_state_e[7:0]),
2277
                   .lsu_tlu_rsr_data_e  (lsu_tlu_rsr_data_e[7:0]),
2278
                   .lsu_asi_state       (lsu_asi_state[7:0]),
2279
                   .lsu_asi_reg0        (lsu_asi_reg0_t[7:0]),
2280
                   .lsu_asi_reg1        (),
2281
                   .lsu_asi_reg2        (),
2282
                   .lsu_asi_reg3        (),
2283
                   .lsu_t0_pctxt_state  (lsu_t0_pctxt_state_t[12:0]),
2284
                   .lsu_t1_pctxt_state  (),
2285
                   .lsu_t2_pctxt_state  (),
2286
                   .lsu_t3_pctxt_state  (),
2287
                   .lsu_tlu_dside_ctxt_m(lsu_tlu_dside_ctxt_m[12:0]),
2288
                   .lsu_tlu_pctxt_m     (lsu_tlu_pctxt_m[12:0]),
2289
                   .tlb_ctxt            (tlb_ctxt[12:0]),
2290
                   .lsu_pid_state0      (lsu_pid_state0_t[2:0]),
2291
                   .lsu_pid_state1      (),
2292
                   .lsu_pid_state2      (),
2293
                   .lsu_pid_state3      (),
2294
                   .lsu_dtlb_cam_pid_e  (lsu_dtlb_cam_pid_e[2:0]),
2295
                   .bist_ctl_reg_in     (bist_ctl_reg_in[6:0]),
2296
                   .lsu_ifu_direct_map_l1(lsu_ifu_direct_map_l1),
2297
                   .dc_direct_map       (dc_direct_map),
2298
                   .lsu_ictag_mrgn      (lsu_ictag_mrgn[3:0]),
2299
                   .lsu_dctag_mrgn      (lsu_dctag_mrgn[3:0]),
2300
                   .lsu_mamem_mrgn      (lsu_mamem_mrgn[3:0]),
2301
                   .lsu_dtlb_mrgn       (lsu_dtlb_mrgn[7:0]),
2302
                   .lsu_itlb_mrgn       (lsu_itlb_mrgn[7:0]),
2303
                   .lsu_ldst_va_m       (lsu_ldst_va_m[12:0]),
2304
                   .lsu_ldst_va_m_buf   (lsu_ldst_va_m_buf[47:0]),
2305
                   .lsu_tlu_ldst_va_m   (lsu_tlu_ldst_va_m[9:0]),
2306
                   .lsu_tlu_tlb_asi_state_m(lsu_tlu_tlb_asi_state_m[7:0]),
2307
                   .lsu_ifu_asi_state   (lsu_ifu_asi_state[7:0]),
2308
                   .lsu_tlu_tlb_ldst_va_m(lsu_tlu_tlb_ldst_va_m[10:0]),
2309
                   .lsu_tlu_tlb_dmp_va_m(lsu_tlu_tlb_dmp_va_m[47:13]),
2310
                   .lsu_ifu_asi_addr    (lsu_ifu_asi_addr[17:0]),
2311
                   .lsu_diagnstc_wr_addr_e(lsu_diagnstc_wr_addr_e[10:0]),
2312
                   .lsu_diagnstc_dc_prty_invrt_e(lsu_diagnstc_dc_prty_invrt_e[7:0]),
2313
                   .lsu_ifu_err_addr    (lsu_ifu_err_addr[47:4]),
2314
                   .va_wtchpt_msk_match_m(va_wtchpt_msk_match_m),
2315
                   .lsu_ldst_va_g       (lsu_ldst_va_g[7:0]),
2316
                   .lsu_dp_ctl_reg0     (lsu_dp_ctl_reg0[5:0]),
2317
                   .lsu_dp_ctl_reg1     (),
2318
                   .lsu_dp_ctl_reg2     (),
2319
                   .lsu_dp_ctl_reg3     (),
2320
                   .lsu_diagnstc_wr_way_e(lsu_diagnstc_wr_way_e[1:0]),
2321
                   .lsu_diag_va_prty_invrt(lsu_diag_va_prty_invrt),
2322
                   // Inputs
2323
                   .rclk                (clk),                   // Templated
2324
                   .rst_l               (dctl_rst_l),            // Templated
2325
                   .se                  (se),
2326
                   .async_tlb_index     (async_tlb_index[5:0]),
2327
                   .lsu_dtlb_dmp_vld_e  (lsu_dtlb_dmp_vld_e),
2328
                   .tlu_lsu_asi_m       (tlu_lsu_asi_m[7:0]),
2329
                   .exu_tlu_wsr_data_m  (exu_tlu_wsr_data_m[7:0]),
2330
                   .tlu_lsu_asi_update_g(tlu_lsu_asi_update_g),
2331
                   .asi_state_wr_thrd   (asi_state_wr_thrd[3:0]),
2332
                   .ifu_lsu_imm_asi_d   (ifu_lsu_imm_asi_d[7:0]),
2333
                   .thread0_d           (thread0_d),
2334
                   .thread1_d           (1'b0),
2335
                   .thread2_d           (1'b0),
2336
                   .thread3_d           (1'b0),
2337
                   .ifu_lsu_imm_asi_vld_d(ifu_lsu_imm_asi_vld_d),
2338
                   .lsu_err_addr_sel    (lsu_err_addr_sel[2:0]),
2339
                   .pctxt_state_wr_thrd (pctxt_state_wr_thrd[3:0]),
2340
                   .sctxt_state_wr_thrd (sctxt_state_wr_thrd[3:0]),
2341
                   .st_rs3_data_g       (st_rs3_data_g[32:0]),
2342
                   .thread0_ctxt        (thread0_ctxt),
2343
                   .thread1_ctxt        (1'b0),
2344
                   .thread2_ctxt        (1'b0),
2345
                   .thread3_ctxt        (1'b0),
2346
                   .thread_pctxt        (thread_pctxt),
2347
                   .thread_sctxt        (thread_sctxt),
2348
                   .thread_actxt        (thread_actxt),
2349
                   .thread_default      (thread_default),
2350
                   .tlu_dtlb_tte_tag_w2 (tlu_dtlb_tte_tag_w2[12:0]),
2351
                   .tlu_dtlb_tte_tag_b58t56(tlu_dtlb_tte_tag_w2[58:56]), // Templated
2352
                   .thread0_g           (thread0_g),
2353
                   .thread1_g           (1'b0),
2354
                   .thread2_g           (1'b0),
2355
                   .thread3_g           (1'b0),
2356
                   .pid_state_wr_en     (pid_state_wr_en[3:0]),
2357
                   .thread0_e           (thread0_e),
2358
                   .thread1_e           (1'b0),
2359
                   .thread2_e           (1'b0),
2360
                   .thread3_e           (1'b0),
2361
                   .thread0_m           (lsu_dctldp_thread0_m),  // Templated
2362
                   .thread1_m           (1'b0),  // Templated
2363
                   .thread2_m           (1'b0),  // Templated
2364
                   .thread3_m           (1'b0),  // Templated
2365
                   .lsu_iobrdge_wr_data (lsu_iobrdge_wr_data[27:0]),
2366
                   .dfture_tap_wr_mx_sel(dfture_tap_wr_mx_sel),
2367
                   .lctl_rst            (lctl_rst[3:0]),
2368
                   .lsu_ctl_state_wr_en (lsu_ctl_state_wr_en[3:0]),
2369
                   .lsuctl_ctlbits_wr_en(lsuctl_ctlbits_wr_en[3:0]),
2370
                   .dfture_tap_rd_en    (dfture_tap_rd_en[3:0]),
2371
                   .bist_tap_wr_en      (bist_tap_wr_en),
2372
                   .bist_ctl_reg_out    (bist_ctl_reg_out[10:0]),
2373
                   .mrgn_tap_wr_en      (mrgn_tap_wr_en),
2374
                   .ldiagctl_wr_en      (ldiagctl_wr_en),
2375
                   .misc_ctl_sel_din    (misc_ctl_sel_din[3:0]),
2376
                   .lsu_asi_sel_fmx1    (lsu_asi_sel_fmx1[2:0]),
2377
                   .lsu_asi_sel_fmx2    (lsu_asi_sel_fmx2[2:0]),
2378
                   .exu_lsu_ldst_va_e   (exu_lsu_ldst_va_e[47:0]),
2379
                   .tlb_access_en0_g    (tlb_access_en0_g),
2380
                   .tlb_access_en1_g    (1'b0),
2381
                   .tlb_access_en2_g    (1'b0),
2382
                   .tlb_access_en3_g    (1'b0),
2383
                   .tlb_access_sel_thrd0(tlb_access_sel_thrd0),
2384
                   .tlb_access_sel_thrd1(tlb_access_sel_thrd1),
2385
                   .tlb_access_sel_thrd2(tlb_access_sel_thrd2),
2386
                   .tlb_access_sel_default(tlb_access_sel_default),
2387
                   .mrgnctl_wr_en       (mrgnctl_wr_en),
2388
                   .lsu_dcfill_addr_e   (lsu_dcache_fill_addr_e_err[10:4]), // Templated
2389
                   .lsu_error_pa_m      (lsu_error_pa_m[28:0]),
2390
                   .stb_ldst_byte_msk   (stb_ldst_byte_msk[7:0]),
2391
                   .lsu_diagnstc_va_sel (lsu_diagnstc_va_sel[3:0]),
2392
                   .rst_tri_en          (mux_drive_disable));     // Templated
2393 95 fafa1971
 
2394 113 albert.wat
`else // !`ifdef FPGA_SYN_1THREAD
2395 95 fafa1971
 
2396
 
2397
   lsu_dctldp dctldp (
2398
                   .so                  (short_scan1_2),
2399
                   .si                  (short_scan1_1),
2400
                   .lsu_iobrdge_rd_data (lsu_iobrdge_rd_data[43:0]),
2401
                   .lsu_local_ldxa_data_g(lsu_local_ldxa_data_g[47:0]),
2402
                   /*AUTOINST*/
2403
                   // Outputs
2404
                   .asi_d               (asi_d[7:0]),
2405
                   .lsu_excpctl_asi_state_m(lsu_excpctl_asi_state_m[7:0]),
2406
                   .lsu_dctl_asi_state_m(lsu_dctl_asi_state_m[7:0]),
2407
                   .lsu_spu_asi_state_e (lsu_spu_asi_state_e[7:0]),
2408
                   .lsu_tlu_rsr_data_e  (lsu_tlu_rsr_data_e[7:0]),
2409
                   .lsu_asi_state       (lsu_asi_state[7:0]),
2410
                   .lsu_asi_reg0        (lsu_asi_reg0_t[7:0]),
2411
                   .lsu_asi_reg1        (lsu_asi_reg1_t[7:0]),
2412
                   .lsu_asi_reg2        (lsu_asi_reg2_t[7:0]),
2413
                   .lsu_asi_reg3        (lsu_asi_reg3_t[7:0]),
2414
                   .lsu_t0_pctxt_state  (lsu_t0_pctxt_state_t[12:0]),
2415
                   .lsu_t1_pctxt_state  (lsu_t1_pctxt_state_t[12:0]),
2416
                   .lsu_t2_pctxt_state  (lsu_t2_pctxt_state_t[12:0]),
2417
                   .lsu_t3_pctxt_state  (lsu_t3_pctxt_state_t[12:0]),
2418
                   .lsu_tlu_dside_ctxt_m(lsu_tlu_dside_ctxt_m[12:0]),
2419
                   .lsu_tlu_pctxt_m     (lsu_tlu_pctxt_m[12:0]),
2420
                   .tlb_ctxt            (tlb_ctxt[12:0]),
2421
                   .lsu_pid_state0      (lsu_pid_state0_t[2:0]),
2422
                   .lsu_pid_state1      (lsu_pid_state1_t[2:0]),
2423
                   .lsu_pid_state2      (lsu_pid_state2_t[2:0]),
2424
                   .lsu_pid_state3      (lsu_pid_state3_t[2:0]),
2425
                   .lsu_dtlb_cam_pid_e  (lsu_dtlb_cam_pid_e[2:0]),
2426
                   .bist_ctl_reg_in     (bist_ctl_reg_in[6:0]),
2427
                   .lsu_ifu_direct_map_l1(lsu_ifu_direct_map_l1),
2428
                   .dc_direct_map       (dc_direct_map),
2429
                   .lsu_ictag_mrgn      (lsu_ictag_mrgn[3:0]),
2430
                   .lsu_dctag_mrgn      (lsu_dctag_mrgn[3:0]),
2431
                   .lsu_mamem_mrgn      (lsu_mamem_mrgn[3:0]),
2432
                   .lsu_dtlb_mrgn       (lsu_dtlb_mrgn[7:0]),
2433
                   .lsu_itlb_mrgn       (lsu_itlb_mrgn[7:0]),
2434
                   .lsu_ldst_va_m       (lsu_ldst_va_m[12:0]),
2435
                   .lsu_ldst_va_m_buf   (lsu_ldst_va_m_buf[47:0]),
2436
                   .lsu_tlu_ldst_va_m   (lsu_tlu_ldst_va_m[9:0]),
2437
                   .lsu_tlu_tlb_asi_state_m(lsu_tlu_tlb_asi_state_m[7:0]),
2438
                   .lsu_ifu_asi_state   (lsu_ifu_asi_state[7:0]),
2439
                   .lsu_tlu_tlb_ldst_va_m(lsu_tlu_tlb_ldst_va_m[10:0]),
2440
                   .lsu_tlu_tlb_dmp_va_m(lsu_tlu_tlb_dmp_va_m[47:13]),
2441
                   .lsu_ifu_asi_addr    (lsu_ifu_asi_addr[17:0]),
2442
                   .lsu_diagnstc_wr_addr_e(lsu_diagnstc_wr_addr_e[10:0]),
2443
                   .lsu_diagnstc_dc_prty_invrt_e(lsu_diagnstc_dc_prty_invrt_e[7:0]),
2444
                   .lsu_ifu_err_addr    (lsu_ifu_err_addr[47:4]),
2445
                   .va_wtchpt_msk_match_m(va_wtchpt_msk_match_m),
2446
                   .lsu_ldst_va_g       (lsu_ldst_va_g[7:0]),
2447
                   .lsu_dp_ctl_reg0     (lsu_dp_ctl_reg0[5:0]),
2448
                   .lsu_dp_ctl_reg1     (lsu_dp_ctl_reg1[5:0]),
2449
                   .lsu_dp_ctl_reg2     (lsu_dp_ctl_reg2[5:0]),
2450
                   .lsu_dp_ctl_reg3     (lsu_dp_ctl_reg3[5:0]),
2451
                   .lsu_diagnstc_wr_way_e(lsu_diagnstc_wr_way_e[1:0]),
2452
                   .lsu_diag_va_prty_invrt(lsu_diag_va_prty_invrt),
2453
                   // Inputs
2454
                   .rclk                (clk),                   // Templated
2455
                   .rst_l               (dctl_rst_l),            // Templated
2456
                   .se                  (se),
2457
                   .async_tlb_index     (async_tlb_index[5:0]),
2458
                   .lsu_dtlb_dmp_vld_e  (lsu_dtlb_dmp_vld_e),
2459
                   .tlu_lsu_asi_m       (tlu_lsu_asi_m[7:0]),
2460
                   .exu_tlu_wsr_data_m  (exu_tlu_wsr_data_m[7:0]),
2461
                   .tlu_lsu_asi_update_g(tlu_lsu_asi_update_g),
2462
                   .asi_state_wr_thrd   (asi_state_wr_thrd[3:0]),
2463
                   .ifu_lsu_imm_asi_d   (ifu_lsu_imm_asi_d[7:0]),
2464
                   .thread0_d           (thread0_d),
2465
                   .thread1_d           (thread1_d),
2466
                   .thread2_d           (thread2_d),
2467
                   .thread3_d           (thread3_d),
2468
                   .ifu_lsu_imm_asi_vld_d(ifu_lsu_imm_asi_vld_d),
2469
                   .lsu_err_addr_sel    (lsu_err_addr_sel[2:0]),
2470
                   .pctxt_state_wr_thrd (pctxt_state_wr_thrd[3:0]),
2471
                   .sctxt_state_wr_thrd (sctxt_state_wr_thrd[3:0]),
2472
                   .st_rs3_data_g       (st_rs3_data_g[32:0]),
2473
                   .thread0_ctxt        (thread0_ctxt),
2474
                   .thread1_ctxt        (thread1_ctxt),
2475
                   .thread2_ctxt        (thread2_ctxt),
2476
                   .thread3_ctxt        (thread3_ctxt),
2477
                   .thread_pctxt        (thread_pctxt),
2478
                   .thread_sctxt        (thread_sctxt),
2479
                   .thread_actxt        (thread_actxt),
2480
                   .thread_default      (thread_default),
2481
                   .tlu_dtlb_tte_tag_w2 (tlu_dtlb_tte_tag_w2[12:0]),
2482
                   .tlu_dtlb_tte_tag_b58t56(tlu_dtlb_tte_tag_w2[58:56]), // Templated
2483
                   .thread0_g           (thread0_g),
2484
                   .thread1_g           (thread1_g),
2485
                   .thread2_g           (thread2_g),
2486
                   .thread3_g           (thread3_g),
2487
                   .pid_state_wr_en     (pid_state_wr_en[3:0]),
2488
                   .thread0_e           (thread0_e),
2489
                   .thread1_e           (thread1_e),
2490
                   .thread2_e           (thread2_e),
2491
                   .thread3_e           (thread3_e),
2492
                   .thread0_m           (lsu_dctldp_thread0_m),  // Templated
2493
                   .thread1_m           (lsu_dctldp_thread1_m),  // Templated
2494
                   .thread2_m           (lsu_dctldp_thread2_m),  // Templated
2495
                   .thread3_m           (lsu_dctldp_thread3_m),  // Templated
2496
                   .lsu_iobrdge_wr_data (lsu_iobrdge_wr_data[27:0]),
2497
                   .dfture_tap_wr_mx_sel(dfture_tap_wr_mx_sel),
2498
                   .lctl_rst            (lctl_rst[3:0]),
2499
                   .lsu_ctl_state_wr_en (lsu_ctl_state_wr_en[3:0]),
2500
                   .lsuctl_ctlbits_wr_en(lsuctl_ctlbits_wr_en[3:0]),
2501
                   .dfture_tap_rd_en    (dfture_tap_rd_en[3:0]),
2502
                   .bist_tap_wr_en      (bist_tap_wr_en),
2503
                   .bist_ctl_reg_out    (bist_ctl_reg_out[10:0]),
2504
                   .mrgn_tap_wr_en      (mrgn_tap_wr_en),
2505
                   .ldiagctl_wr_en      (ldiagctl_wr_en),
2506
                   .misc_ctl_sel_din    (misc_ctl_sel_din[3:0]),
2507
                   .lsu_asi_sel_fmx1    (lsu_asi_sel_fmx1[2:0]),
2508
                   .lsu_asi_sel_fmx2    (lsu_asi_sel_fmx2[2:0]),
2509
                   .exu_lsu_ldst_va_e   (exu_lsu_ldst_va_e[47:0]),
2510
                   .tlb_access_en0_g    (tlb_access_en0_g),
2511
                   .tlb_access_en1_g    (tlb_access_en1_g),
2512
                   .tlb_access_en2_g    (tlb_access_en2_g),
2513
                   .tlb_access_en3_g    (tlb_access_en3_g),
2514
                   .tlb_access_sel_thrd0(tlb_access_sel_thrd0),
2515
                   .tlb_access_sel_thrd1(tlb_access_sel_thrd1),
2516
                   .tlb_access_sel_thrd2(tlb_access_sel_thrd2),
2517
                   .tlb_access_sel_default(tlb_access_sel_default),
2518
                   .mrgnctl_wr_en       (mrgnctl_wr_en),
2519
                   .lsu_dcfill_addr_e   (lsu_dcache_fill_addr_e_err[10:4]), // Templated
2520
                   .lsu_error_pa_m      (lsu_error_pa_m[28:0]),
2521
                   .stb_ldst_byte_msk   (stb_ldst_byte_msk[7:0]),
2522
                   .lsu_diagnstc_va_sel (lsu_diagnstc_va_sel[3:0]),
2523
                   .rst_tri_en          (mux_drive_disable));     // Templated
2524 113 albert.wat
`endif // !`ifdef FPGA_SYN_1THREAD
2525 95 fafa1971
 
2526
/*
2527
lsu_dctl AUTO_TEMPLATE (
2528
               .rst_tri_en           (mux_drive_disable),
2529
               .stb_cam_hit             (stb_cam_hit_bf1),
2530
               .lsu_ttype_vld_m2        (lsu_ttype_vld_m2_bf1),
2531
               .ifu_tlu_inst_vld_m      (ifu_tlu_inst_vld_m_bf1),
2532
               .bistctl_wr_en           (),
2533
               .rclk                    (clk),
2534
               .lsu_iobrdge_tap_rq_type_b8    (lsu_iobrdge_tap_rq_type[8:8]),
2535
               .lsu_iobrdge_tap_rq_type_b6_b3 (lsu_iobrdge_tap_rq_type[6:3]),
2536
               .lsu_iobrdge_tap_rq_type_b1_b0 (lsu_iobrdge_tap_rq_type[1:0]),
2537
 
2538
               .lsu_ifu_err_addr_b39    (lsu_ifu_err_addr[39]),
2539
               .ld_inst_vld_e           (ifu_lsu_ld_inst_e),
2540
               .lsu_sscan_data          (lsu_sscan_data[14:13]),
2541
               .ldst_sz_e               (ifu_lsu_ldst_size_e[1:0]),
2542
               .lsu_l1hit_sign_extend_e (ifu_lsu_sign_ext_e),
2543
               .lsu_tlb_invert_endian_g (tlb_rd_tte_data_ie_buf),
2544
               .lsu_tte_data_cp_g       (tlb_rd_tte_data[`STLB_DATA_CP]),
2545
               .st_inst_vld_e           (ifu_lsu_st_inst_e),
2546
               .tlb_demap_actxt         (tlu_dtlb_dmp_actxt_g),
2547
               .tlb_demap_nctxt         (tlu_dtlb_dmp_nctxt_g),
2548
               .tlb_demap_pctxt         (tlu_dtlb_dmp_pctxt_g),
2549
               .tlb_demap_sctxt         (tlu_dtlb_dmp_sctxt_g),
2550
               .tlb_demap_thrid         (tlu_idtlb_dmp_thrid_g[1:0]),
2551
               .lsu_dfill_tid_e               (dfq_tid[1:0]),
2552
                     .tlb_pgnum                     ({tlb_pgnum_buf[39:10]}),
2553
               .lsu_ldst_va_b12_b11_m   (lsu_ldst_va_m[12:11]),
2554
               .lsu_ldst_va_b7_b0_m     (lsu_ldst_va_m[7:0]));
2555
 
2556
*/
2557
 
2558 113 albert.wat
`ifdef FPGA_SYN_1THREAD
2559
 
2560
lsu_dctl dctl (
2561
               .so                      (short_scan1_3),
2562
               .si                      (short_scan1_2),
2563 95 fafa1971
 
2564 113 albert.wat
         .lsu_dtlb_cam_real_e     (lsu_dtlb_cam_real_e),
2565 95 fafa1971
 
2566 113 albert.wat
                      /*AUTOINST*/
2567
               // Outputs
2568
               .lsu_tlu_nucleus_ctxt_m  (lsu_tlu_nucleus_ctxt_m),
2569
               .lsu_quad_word_access_g  (lsu_quad_word_access_g),
2570
               .dctl_rst_l              (dctl_rst_l),
2571
               .lsu_tlu_wsr_inst_e      (lsu_tlu_wsr_inst_e),
2572
               .lsu_l2fill_fpld_e       (lsu_l2fill_fpld_e),
2573
               .dva_vld_m_bf            (dva_vld_m_bf[3:0]),
2574
               .lsu_no_spc_pref         (lsu_no_spc_pref[3:0]),
2575
               .ifu_tlu_flush_fd_w      (ifu_tlu_flush_fd_w),
2576
               .ifu_tlu_flush_fd2_w     (ifu_tlu_flush_fd2_w),
2577
               .ifu_tlu_flush_fd3_w     (ifu_tlu_flush_fd3_w),
2578
               .ifu_lsu_flush_w         (ifu_lsu_flush_w),
2579
               .lsu_tlu_thrid_d         (lsu_tlu_thrid_d[1:0]),
2580
               .lsu_diagnstc_data_sel   (lsu_diagnstc_data_sel[3:0]),
2581
               .lsu_diagnstc_va_sel     (lsu_diagnstc_va_sel[3:0]),
2582
               .lsu_err_addr_sel        (lsu_err_addr_sel[2:0]),
2583
               .dva_bit_wr_en_e         (dva_bit_wr_en_e[15:0]),
2584
               .dva_wr_adr_e            (dva_wr_adr_e[10:6]),
2585
               .lsu_exu_ldst_miss_w2    (lsu_exu_ldst_miss_w2),
2586
               .lsu_exu_dfill_vld_w2    (lsu_exu_dfill_vld_w2),
2587
               .lsu_ffu_ld_vld          (lsu_ffu_ld_vld),
2588
               .lsu_ld_miss_wb          (lsu_ld_miss_wb),
2589
               .lsu_dtlb_bypass_e       (lsu_dtlb_bypass_e),
2590
               .ld_pcx_pkt_g            (ld_pcx_pkt_g[`LMQ_WIDTH-1:40]),
2591
               .tlb_ldst_cam_vld        (tlb_ldst_cam_vld),
2592
               .ldxa_internal           (ldxa_internal),
2593
               .lsu_ifu_ldsta_internal_e(lsu_ifu_ldsta_internal_e),
2594
               .lsu_ifu_ldst_cmplt      (lsu_ifu_ldst_cmplt[3:0]),
2595
               .lsu_ifu_itlb_en         (lsu_ifu_itlb_en[3:0]),
2596
               .lsu_ifu_icache_en       (lsu_ifu_icache_en[3:0]),
2597
               .lmq_byp_data_en_w2      (lmq_byp_data_en_w2[3:0]),
2598
               .lmq_byp_data_fmx_sel    (lmq_byp_data_fmx_sel[3:0]),
2599
               .lmq_byp_data_mxsel0     (lmq_byp_data_mxsel0[3:0]),
2600
               .lmq_byp_data_mxsel1     (lmq_byp_data_mxsel1[3:0]),
2601
               .lmq_byp_data_mxsel2     (lmq_byp_data_mxsel2[3:0]),
2602
               .lmq_byp_data_mxsel3     (lmq_byp_data_mxsel3[3:0]),
2603
               .lmq_byp_ldxa_mxsel0     (lmq_byp_ldxa_mxsel0[2:0]),
2604
               .lmq_byp_ldxa_mxsel1     (lmq_byp_ldxa_mxsel1[2:0]),
2605
               .lmq_byp_ldxa_mxsel2     (lmq_byp_ldxa_mxsel2[2:0]),
2606
               .lmq_byp_ldxa_mxsel3     (lmq_byp_ldxa_mxsel3[2:0]),
2607
               .lsu_ld_thrd_byp_sel_e   (lsu_ld_thrd_byp_sel_e[2:0]),
2608
               .dcache_byte_wr_en_e     (dcache_byte_wr_en_e[15:0]),
2609
               .lsu_dcache_wr_vld_e     (lsu_dcache_wr_vld_e),
2610
               .lsu_ldstub_g            (lsu_ldstub_g),
2611
               .lsu_swap_g              (lsu_swap_g),
2612
               .lsu_tlu_dtlb_done       (lsu_tlu_dtlb_done),
2613
               .lsu_exu_thr_m           (lsu_exu_thr_m[1:0]),
2614
               .merge7_sel_byte0_m      (merge7_sel_byte0_m),
2615
               .merge7_sel_byte7_m      (merge7_sel_byte7_m),
2616
               .merge6_sel_byte1_m      (merge6_sel_byte1_m),
2617
               .merge6_sel_byte6_m      (merge6_sel_byte6_m),
2618
               .merge5_sel_byte2_m      (merge5_sel_byte2_m),
2619
               .merge5_sel_byte5_m      (merge5_sel_byte5_m),
2620
               .merge4_sel_byte3_m      (merge4_sel_byte3_m),
2621
               .merge4_sel_byte4_m      (merge4_sel_byte4_m),
2622
               .merge3_sel_byte0_m      (merge3_sel_byte0_m),
2623
               .merge3_sel_byte3_m      (merge3_sel_byte3_m),
2624
               .merge3_sel_byte4_m      (merge3_sel_byte4_m),
2625
               .merge3_sel_byte7_default_m(merge3_sel_byte7_default_m),
2626
               .merge3_sel_byte_m       (merge3_sel_byte_m),
2627
               .merge2_sel_byte1_m      (merge2_sel_byte1_m),
2628
               .merge2_sel_byte2_m      (merge2_sel_byte2_m),
2629
               .merge2_sel_byte5_m      (merge2_sel_byte5_m),
2630
               .merge2_sel_byte6_default_m(merge2_sel_byte6_default_m),
2631
               .merge2_sel_byte_m       (merge2_sel_byte_m),
2632
               .merge0_sel_byte0_m      (merge0_sel_byte0_m),
2633
               .merge0_sel_byte1_m      (merge0_sel_byte1_m),
2634
               .merge0_sel_byte2_m      (merge0_sel_byte2_m),
2635
               .merge0_sel_byte3_default_m(merge0_sel_byte3_default_m),
2636
               .merge0_sel_byte4_m      (merge0_sel_byte4_m),
2637
               .merge0_sel_byte5_m      (merge0_sel_byte5_m),
2638
               .merge0_sel_byte6_m      (merge0_sel_byte6_m),
2639
               .merge0_sel_byte7_default_m(merge0_sel_byte7_default_m),
2640
               .merge1_sel_byte0_m      (merge1_sel_byte0_m),
2641
               .merge1_sel_byte1_m      (merge1_sel_byte1_m),
2642
               .merge1_sel_byte2_m      (merge1_sel_byte2_m),
2643
               .merge1_sel_byte3_default_m(merge1_sel_byte3_default_m),
2644
               .merge1_sel_byte4_m      (merge1_sel_byte4_m),
2645
               .merge1_sel_byte5_m      (merge1_sel_byte5_m),
2646
               .merge1_sel_byte6_m      (merge1_sel_byte6_m),
2647
               .merge1_sel_byte7_default_m(merge1_sel_byte7_default_m),
2648
               .merge0_sel_byte_1h_m    (merge0_sel_byte_1h_m),
2649
               .merge1_sel_byte_1h_m    (merge1_sel_byte_1h_m),
2650
               .merge1_sel_byte_2h_m    (merge1_sel_byte_2h_m),
2651
               .lsu_dtagv_wr_vld_e      (lsu_dtagv_wr_vld_e),
2652
               .lsu_dtag_wrreq_x_e      (lsu_dtag_wrreq_x_e),
2653
               .lsu_dtag_index_sel_x_e  (lsu_dtag_index_sel_x_e),
2654
               .lsu_dtlb_wr_vld_e       (lsu_dtlb_wr_vld_e),
2655
               .lsu_dtlb_tag_rd_e       (lsu_dtlb_tag_rd_e),
2656
               .lsu_dtlb_data_rd_e      (lsu_dtlb_data_rd_e),
2657
               .lsu_dtlb_dmp_vld_e      (lsu_dtlb_dmp_vld_e),
2658
               .lsu_dtlb_dmp_all_e      (lsu_dtlb_dmp_all_e),
2659
               .lsu_dtlb_rwindex_vld_e  (lsu_dtlb_rwindex_vld_e),
2660
               .lsu_dtlb_invalid_all_l_m(lsu_dtlb_invalid_all_l_m),
2661
               .lsu_tlu_tlb_ld_inst_m   (lsu_tlu_tlb_ld_inst_m),
2662
               .lsu_tlu_tlb_st_inst_m   (lsu_tlu_tlb_st_inst_m),
2663
               .lsu_tlu_tlb_access_tid_m(lsu_tlu_tlb_access_tid_m[1:0]),
2664
               .lsu_tlb_data_rd_vld_g   (lsu_tlb_data_rd_vld_g),
2665
               .lsu_tlb_st_sel_m        (lsu_tlb_st_sel_m[3:0]),
2666
               .lsu_va_wtchpt0_wr_en_l  (lsu_va_wtchpt0_wr_en_l),
2667
               .lsu_va_wtchpt1_wr_en_l  (lsu_va_wtchpt1_wr_en_l),
2668
               .lsu_va_wtchpt2_wr_en_l  (lsu_va_wtchpt2_wr_en_l),
2669
               .lsu_va_wtchpt3_wr_en_l  (lsu_va_wtchpt3_wr_en_l),
2670
               .thread0_m               (thread0_m),
2671
               .thread1_m               (),
2672
               .thread2_m               (),
2673
               .thread3_m               (),
2674
               .lsu_dctldp_thread0_m    (lsu_dctldp_thread0_m),
2675
               .lsu_dctldp_thread1_m    (),
2676
               .lsu_dctldp_thread2_m    (),
2677
               .lsu_dctldp_thread3_m    (),
2678
               .thread0_g               (thread0_g),
2679
               .thread1_g               (),
2680
               .thread2_g               (),
2681
               .thread3_g               (),
2682
               .lsu_tlu_nonalt_ldst_m   (lsu_tlu_nonalt_ldst_m),
2683
               .lsu_tlu_xslating_ldst_m (lsu_tlu_xslating_ldst_m),
2684
               .lsu_tlu_ctxt_sel_m      (lsu_tlu_ctxt_sel_m[2:0]),
2685
               .lsu_tlu_write_op_m      (lsu_tlu_write_op_m),
2686
               .lsu_dtlb_addr_mask_l_e  (lsu_dtlb_addr_mask_l_e),
2687
               .dva_din_e               (dva_din_e),
2688
               .lsu_diagnstc_dtagv_prty_invrt_e(lsu_diagnstc_dtagv_prty_invrt_e),
2689
               .lsu_ifu_asi_load        (lsu_ifu_asi_load),
2690
               .lsu_ifu_asi_thrid       (lsu_ifu_asi_thrid[1:0]),
2691
               .lsu_ifu_asi_vld         (lsu_ifu_asi_vld),
2692
               .lsu_quad_asi_e          (lsu_quad_asi_e),
2693
               .lsu_local_ldxa_sel_g    (lsu_local_ldxa_sel_g),
2694
               .lsu_dtag_rsel_m         (lsu_dtag_rsel_m[3:0]),
2695
               .lsu_tlbop_force_swo     (lsu_tlbop_force_swo),
2696
               .lsu_atomic_pkt2_bsel_g  (lsu_atomic_pkt2_bsel_g[2:0]),
2697
               .lsu_dcache_tag_perror_g (lsu_dcache_tag_perror_g),
2698
               .lsu_dcache_data_perror_g(lsu_dcache_data_perror_g),
2699
               .lsu_ifu_l2_unc_error    (lsu_ifu_l2_unc_error),
2700
               .lsu_ifu_l2_corr_error   (lsu_ifu_l2_corr_error),
2701
               .lsu_ifu_dcache_data_perror(lsu_ifu_dcache_data_perror),
2702
               .lsu_ifu_dcache_tag_perror(lsu_ifu_dcache_tag_perror),
2703
               .lsu_ifu_error_tid       (lsu_ifu_error_tid[1:0]),
2704
               .lsu_ifu_io_error        (lsu_ifu_io_error),
2705
               .lsu_tlu_squash_va_oor_m (lsu_tlu_squash_va_oor_m),
2706
               .lsu_squash_va_oor_m     (lsu_squash_va_oor_m),
2707
               .tlb_cam_hit_g           (tlb_cam_hit_g),
2708
               .lsu_st_hw_le_g          (lsu_st_hw_le_g),
2709
               .lsu_st_w_or_dbl_le_g    (lsu_st_w_or_dbl_le_g),
2710
               .lsu_st_x_le_g           (lsu_st_x_le_g),
2711
               .lsu_swap_sel_default_g  (lsu_swap_sel_default_g),
2712
               .lsu_swap_sel_default_byte_7_2_g(lsu_swap_sel_default_byte_7_2_g),
2713
               .lsu_st_rmo_m            (lsu_st_rmo_m),
2714
               .lsu_bst_in_pipe_m       (lsu_bst_in_pipe_m),
2715
               .lsu_snap_blk_st_m       (lsu_snap_blk_st_m),
2716
               .lsu_blk_st_m            (lsu_blk_st_m),
2717
               .lsu_blkst_pgnum_m       (lsu_blkst_pgnum_m[39:10]),
2718
               .lsu_ffu_blk_asi_e       (lsu_ffu_blk_asi_e),
2719
               .lsu_blk_asi_m           (lsu_blk_asi_m),
2720
               .lsu_nonalt_nucl_access_m(lsu_nonalt_nucl_access_m),
2721
               .dcache_alt_mx_sel_e     (dcache_alt_mx_sel_e),
2722
               .dcache_alt_mx_sel_e_bf  (dcache_alt_mx_sel_e_bf),
2723
               .dcache_rvld_e           (dcache_rvld_e),
2724
               .lsu_dc_iob_access_e     (lsu_dc_iob_access_e),
2725
               .lsu_ifu_ldst_miss_w     (lsu_ifu_ldst_miss_w),
2726
               .lsu_ifu_dc_parity_error_w2(lsu_ifu_dc_parity_error_w2),
2727
               .lsu_ldst_inst_vld_e     (lsu_ldst_inst_vld_e),
2728
               .lsu_local_ldxa_tlbrd_sel_g(lsu_local_ldxa_tlbrd_sel_g),
2729
               .lsu_local_diagnstc_tagrd_sel_g(lsu_local_diagnstc_tagrd_sel_g),
2730
               .lsu_va_wtchpt_sel_g     (lsu_va_wtchpt_sel_g),
2731
               .asi_state_wr_thrd       (asi_state_wr_thrd[3:0]),
2732
               .thread0_d               (thread0_d),
2733
               .thread1_d               (),
2734
               .thread2_d               (),
2735
               .thread3_d               (),
2736
               .tlu_lsu_asi_update_g    (tlu_lsu_asi_update_g),
2737
               .pctxt_state_wr_thrd     (pctxt_state_wr_thrd[3:0]),
2738
               .sctxt_state_wr_thrd     (sctxt_state_wr_thrd[3:0]),
2739
               .thread_pctxt            (thread_pctxt),
2740
               .thread_sctxt            (thread_sctxt),
2741
               .thread_actxt            (thread_actxt),
2742
               .thread_default          (thread_default),
2743
               .thread0_ctxt            (thread0_ctxt),
2744
               .thread1_ctxt            (),
2745
               .thread2_ctxt            (),
2746
               .thread3_ctxt            (),
2747
               .pid_state_wr_en         (pid_state_wr_en[3:0]),
2748
               .thread0_e               (thread0_e),
2749
               .thread1_e               (),
2750
               .thread2_e               (),
2751
               .thread3_e               (),
2752
               .dfture_tap_wr_mx_sel    (dfture_tap_wr_mx_sel),
2753
               .lctl_rst                (lctl_rst[3:0]),
2754
               .lsu_ctl_state_wr_en     (lsu_ctl_state_wr_en[3:0]),
2755
               .lsuctl_ctlbits_wr_en    (lsuctl_ctlbits_wr_en[3:0]),
2756
               .dfture_tap_rd_en        (dfture_tap_rd_en[3:0]),
2757
               .bist_tap_wr_en          (bist_tap_wr_en),
2758
               .bistctl_wr_en           (),                      // Templated
2759
               .bist_ctl_reg_wr_en      (bist_ctl_reg_wr_en),
2760
               .mrgn_tap_wr_en          (mrgn_tap_wr_en),
2761
               .ldiagctl_wr_en          (ldiagctl_wr_en),
2762
               .misc_ctl_sel_din        (misc_ctl_sel_din[3:0]),
2763
               .lsu_asi_sel_fmx1        (lsu_asi_sel_fmx1[2:0]),
2764
               .lsu_asi_sel_fmx2        (lsu_asi_sel_fmx2[2:0]),
2765
               .tlb_access_en0_g        (tlb_access_en0_g),
2766
               .tlb_access_en1_g        (),
2767
               .tlb_access_en2_g        (),
2768
               .tlb_access_en3_g        (),
2769
               .tlb_access_sel_thrd0    (tlb_access_sel_thrd0),
2770
               .tlb_access_sel_thrd1    (tlb_access_sel_thrd1),
2771
               .tlb_access_sel_thrd2    (tlb_access_sel_thrd2),
2772
               .tlb_access_sel_default  (tlb_access_sel_default),
2773
               .mrgnctl_wr_en           (mrgnctl_wr_en),
2774
               .hpv_priv_m              (hpv_priv_m),
2775
               .hpstate_en_m            (hpstate_en_m),
2776
               .dcache_arry_data_sel_m  (dcache_arry_data_sel_m),
2777
               .dtlb_bypass_m           (dtlb_bypass_m),
2778
               .lsu_alt_space_m         (lsu_alt_space_m),
2779
               .atomic_m                (atomic_m),
2780
               .ldst_dbl_m              (ldst_dbl_m),
2781
               .fp_ldst_m               (fp_ldst_m),
2782
               .lda_internal_m          (lda_internal_m),
2783
               .sta_internal_m          (sta_internal_m),
2784
               .cam_real_m              (cam_real_m),
2785
               .data_rd_vld_g           (data_rd_vld_g),
2786
               .tag_rd_vld_g            (tag_rd_vld_g),
2787
               .ldst_sz_m               (ldst_sz_m[1:0]),
2788
               .asi_internal_m          (asi_internal_m),
2789
               .rd_only_ltlb_asi_e      (rd_only_ltlb_asi_e),
2790
               .wr_only_ltlb_asi_e      (wr_only_ltlb_asi_e),
2791
               .dfill_tlb_asi_e         (dfill_tlb_asi_e),
2792
               .ifill_tlb_asi_e         (ifill_tlb_asi_e),
2793
               .nofault_asi_m           (nofault_asi_m),
2794
               .as_if_user_asi_m        (as_if_user_asi_m),
2795
               .atomic_asi_m            (atomic_asi_m),
2796
               .phy_use_ec_asi_m        (phy_use_ec_asi_m),
2797
               .phy_byp_ec_asi_m        (phy_byp_ec_asi_m),
2798
               .quad_asi_m              (quad_asi_m),
2799
               .binit_quad_asi_m        (binit_quad_asi_m),
2800
               .blk_asi_m               (blk_asi_m),
2801
               .recognized_asi_m        (recognized_asi_m),
2802
               .strm_asi_m              (strm_asi_m),
2803
               .mmu_rd_only_asi_m       (mmu_rd_only_asi_m),
2804
               .rd_only_asi_m           (rd_only_asi_m),
2805
               .wr_only_asi_m           (wr_only_asi_m),
2806
               .unimp_asi_m             (unimp_asi_m),
2807
               .va_wtchpt_cmp_en_m      (va_wtchpt_cmp_en_m),
2808
               .lsu_tlu_async_ttype_vld_w2(lsu_tlu_async_ttype_vld_w2),
2809
               .lsu_tlu_async_ttype_w2  (lsu_tlu_async_ttype_w2[6:0]),
2810
               .lsu_tlu_async_tid_w2    (lsu_tlu_async_tid_w2[1:0]),
2811
               .async_tlb_index         (async_tlb_index[5:0]),
2812
               .l2fill_vld_m            (l2fill_vld_m),
2813
               .ld_thrd_byp_mxsel_m     (ld_thrd_byp_mxsel_m[3:0]),
2814
               .morphed_addr_m          (morphed_addr_m[7:0]),
2815
               .signed_ldst_byte_m      (signed_ldst_byte_m),
2816
               .signed_ldst_hw_m        (signed_ldst_hw_m),
2817
               .signed_ldst_w_m         (signed_ldst_w_m),
2818
               .lsu_tlb_asi_data_perr_g (lsu_tlb_asi_data_perr_g),
2819
               .lsu_tlb_asi_tag_perr_g  (lsu_tlb_asi_tag_perr_g),
2820
               .lsu_sscan_data          (lsu_sscan_data[14:13]), // Templated
2821
               .lsu_ld_inst_vld_g       (lsu_ld_inst_vld_g[3:0]),
2822
               .lsu_dcache_rand         (lsu_dcache_rand[1:0]),
2823
               .lsu_encd_way_hit        (lsu_encd_way_hit[1:0]),
2824
               .lsu_way_hit_or          (lsu_way_hit_or),
2825
               .lsu_memref_m            (lsu_memref_m),
2826
               .lsu_flsh_inst_m         (lsu_flsh_inst_m),
2827
               .lsu_ifu_asi_data_en_l   (lsu_ifu_asi_data_en_l),
2828
               .lsu_dcache_fill_addr_e  (lsu_dcache_fill_addr_e[10:3]),
2829
               .lsu_dcache_fill_addr_e_err(lsu_dcache_fill_addr_e_err[10:4]),
2830
               .lsu_thread_g            (lsu_thread_g[3:0]),
2831
               .lmq_ldd_vld             (lmq_ldd_vld),
2832
               .lsu_bist_rsel_way_e     (lsu_bist_rsel_way_e[3:0]),
2833
               .lsu_dcache_fill_way_e   (lsu_dcache_fill_way_e[3:0]),
2834
               .lmq_ld_addr_b3          (lmq_ld_addr_b3),
2835
               .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[3:0]),
2836
               .lsu_dcfill_data_mx_sel_e(lsu_dcfill_data_mx_sel_e),
2837
               // Inputs
2838
               .se                      (se),
2839
               .sehold                  (sehold),
2840
               .rst_tri_en              (mux_drive_disable),     // Templated
2841
               .rclk                    (clk),                   // Templated
2842
               .grst_l                  (grst_l),
2843
               .arst_l                  (arst_l),
2844
               .lsu_diag_va_prty_invrt  (lsu_diag_va_prty_invrt),
2845
               .dva_svld_e              (dva_svld_e),
2846
               .dva_snp_bit_wr_en_e     (dva_snp_bit_wr_en_e[15:0]),
2847
               .dva_snp_addr_e          (dva_snp_addr_e[4:0]),
2848
               .lsu_tte_data_cp_g       (tlb_rd_tte_data[`STLB_DATA_CP]), // Templated
2849
               .lsu_l2fill_vld          (lsu_l2fill_vld),
2850
               .ld_inst_vld_e           (ifu_lsu_ld_inst_e),     // Templated
2851
               .st_inst_vld_e           (ifu_lsu_st_inst_e),     // Templated
2852
               .ifu_lsu_ldst_fp_e       (ifu_lsu_ldst_fp_e),
2853
               .ldst_sz_e               (ifu_lsu_ldst_size_e[1:0]), // Templated
2854
               .lsu_ldst_va_b12_b11_m   (lsu_ldst_va_m[12:11]),  // Templated
2855
               .lsu_ldst_va_b7_b0_m     (lsu_ldst_va_m[7:0]),    // Templated
2856
               .ifu_lsu_rd_e            (ifu_lsu_rd_e[4:0]),
2857
               .tlb_cam_hit             (tlb_cam_hit),
2858
               .ifu_tlu_sraddr_d        (ifu_tlu_sraddr_d[6:0]),
2859
               .ifu_tlu_wsr_inst_d      (ifu_tlu_wsr_inst_d),
2860
               .ifu_lsu_alt_space_d     (ifu_lsu_alt_space_d),
2861
               .tlu_lsu_int_ldxa_vld_w2 (tlu_lsu_int_ldxa_vld_w2),
2862
               .tlu_lsu_int_ld_ill_va_w2(tlu_lsu_int_ld_ill_va_w2),
2863
               .tlu_lsu_ldxa_tid_w2     (tlu_lsu_ldxa_tid_w2[1:0]),
2864
               .ifu_lsu_ldxa_data_vld_w2(ifu_lsu_ldxa_data_vld_w2),
2865
               .ifu_lsu_ldxa_illgl_va_w2(ifu_lsu_ldxa_illgl_va_w2),
2866
               .ifu_lsu_ldxa_tid_w2     (ifu_lsu_ldxa_tid_w2[1:0]),
2867
               .ifu_lsu_asi_rd_unc      (ifu_lsu_asi_rd_unc),
2868
               .tlu_lsu_tl_zero         (tlu_lsu_tl_zero[3:0]),
2869
               .ifu_lsu_thrid_s         (ifu_lsu_thrid_s[1:0]),
2870
               .ifu_lsu_ldst_dbl_e      (ifu_lsu_ldst_dbl_e),
2871
               .ld_stb_full_raw_w2      (ld_stb_full_raw_w2),
2872
               .ld_sec_active           (ld_sec_active),
2873
               .ifu_tlu_inst_vld_m      (ifu_tlu_inst_vld_m_bf1), // Templated
2874
               .lsu_l2fill_bendian_m    (lsu_l2fill_bendian_m),
2875
               .lmq0_l2fill_fpld        (lmq0_l2fill_fpld),
2876
               .lmq1_l2fill_fpld        (lmq1_l2fill_fpld),
2877
               .lmq2_l2fill_fpld        (lmq2_l2fill_fpld),
2878
               .lmq3_l2fill_fpld        (lmq3_l2fill_fpld),
2879
               .cache_way_hit_buf1      (cache_way_hit_buf1[3:0]),
2880
               .cache_hit               (cache_hit),
2881
               .lmq0_byp_misc_sz        (lmq0_byp_misc_sz[1:0]),
2882
               .lmq1_byp_misc_sz        (lmq1_byp_misc_sz[1:0]),
2883
               .lmq2_byp_misc_sz        (lmq2_byp_misc_sz[1:0]),
2884
               .lmq3_byp_misc_sz        (lmq3_byp_misc_sz[1:0]),
2885
               .lsu_l2fill_sign_extend_m(lsu_l2fill_sign_extend_m),
2886
               .lsu_l1hit_sign_extend_e (ifu_lsu_sign_ext_e),    // Templated
2887
               .tlu_lsu_pstate_cle      (tlu_lsu_pstate_cle[3:0]),
2888
               .tlu_lsu_pstate_am       (tlu_lsu_pstate_am[3:0]),
2889
               .tlb_pgnum               ({tlb_pgnum_buf[39:10]}), // Templated
2890
               .tlb_demap_nctxt         (tlu_dtlb_dmp_nctxt_g),  // Templated
2891
               .tlb_demap_pctxt         (tlu_dtlb_dmp_pctxt_g),  // Templated
2892
               .tlb_demap_sctxt         (tlu_dtlb_dmp_sctxt_g),  // Templated
2893
               .tlb_demap_actxt         (tlu_dtlb_dmp_actxt_g),  // Templated
2894
               .tlb_demap_thrid         (tlu_idtlb_dmp_thrid_g[1:0]), // Templated
2895
               .ifu_lsu_casa_e          (ifu_lsu_casa_e),
2896
               .ifu_lsu_ldstub_e        (ifu_lsu_ldstub_e),
2897
               .ifu_lsu_swap_e          (ifu_lsu_swap_e),
2898
               .lsu_atm_st_cmplt_e      (lsu_atm_st_cmplt_e),
2899
               .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
2900
               .spu_lsu_ldxa_data_vld_w2(spu_lsu_ldxa_data_vld_w2),
2901
               .spu_lsu_ldxa_illgl_va_w2(spu_lsu_ldxa_illgl_va_w2),
2902
               .spu_lsu_ldxa_tid_w2     (spu_lsu_ldxa_tid_w2[1:0]),
2903
               .spu_lsu_stxa_ack_tid    (spu_lsu_stxa_ack_tid[1:0]),
2904
               .spu_lsu_stxa_ack        (spu_lsu_stxa_ack),
2905
               .spu_lsu_unc_error_w2    (spu_lsu_unc_error_w2),
2906
               .spu_lsu_int_w2          (spu_lsu_int_w2),
2907
               .tlu_lsu_stxa_ack        (tlu_lsu_stxa_ack),
2908
               .tlu_lsu_stxa_ack_tid    (tlu_lsu_stxa_ack_tid[1:0]),
2909
               .lsu_tlb_invert_endian_g (tlb_rd_tte_data_ie_buf), // Templated
2910
               .lmq0_ncache_ld          (lmq0_ncache_ld),
2911
               .lmq1_ncache_ld          (lmq1_ncache_ld),
2912
               .lmq2_ncache_ld          (lmq2_ncache_ld),
2913
               .lmq3_ncache_ld          (lmq3_ncache_ld),
2914
               .ifu_tlu_mb_inst_e       (ifu_tlu_mb_inst_e),
2915
               .ifu_tlu_flsh_inst_e     (ifu_tlu_flsh_inst_e),
2916
               .lsu_stb_empty           ({3'b000, lsu_stb_empty[0]}),
2917
               .tlu_dtlb_tag_rd_g       (tlu_dtlb_tag_rd_g),
2918
               .tlu_dtlb_data_rd_g      (tlu_dtlb_data_rd_g),
2919
               .tlu_dtlb_dmp_vld_g      (tlu_dtlb_dmp_vld_g),
2920
               .tlu_dtlb_dmp_all_g      (tlu_dtlb_dmp_all_g),
2921
               .tlu_dtlb_rw_index_vld_g (tlu_dtlb_rw_index_vld_g),
2922
               .tlu_dtlb_invalidate_all_g(tlu_dtlb_invalidate_all_g),
2923
               .lsu_st_wr_dcache        (lsu_st_wr_dcache),
2924
               .tlu_lsu_asi_update_m    (tlu_lsu_asi_update_m),
2925
               .tlu_lsu_tid_m           (tlu_lsu_tid_m[1:0]),
2926
               .lsu_rd_dtag_parity_g    (lsu_rd_dtag_parity_g[3:0]),
2927
               .dcache_rparity_err_wb   (dcache_rparity_err_wb),
2928
               .lsu_diagnstc_wr_data_b0 (lsu_diagnstc_wr_data_b0),
2929
               .lsu_byp_ldd_oddrd_m     (lsu_byp_ldd_oddrd_m),
2930
               .tlu_lsu_redmode         (tlu_lsu_redmode[3:0]),
2931
               .tlu_lsu_redmode_rst_d1  (tlu_lsu_redmode_rst_d1[3:0]),
2932
               .dva_vld_m               (dva_vld_m[3:0]),
2933
               .lsu_dfill_tid_e         (dfq_tid[1:0]),          // Templated
2934
               .ifu_lsu_asi_ack         (ifu_lsu_asi_ack),
2935
               .lsu_intrpt_cmplt        (lsu_intrpt_cmplt[3:0]),
2936
               .lsu_iobrdge_tap_rq_type_b8(lsu_iobrdge_tap_rq_type[8:8]), // Templated
2937
               .lsu_iobrdge_tap_rq_type_b6_b3(lsu_iobrdge_tap_rq_type[6:3]), // Templated
2938
               .lsu_iobrdge_tap_rq_type_b1_b0(lsu_iobrdge_tap_rq_type[1:0]), // Templated
2939
               .lsu_iobrdge_fwd_pkt_vld (lsu_iobrdge_fwd_pkt_vld),
2940
               .lsu_cpx_ld_dtag_perror_e(lsu_cpx_ld_dtag_perror_e),
2941
               .lsu_cpx_ld_dcache_perror_e(lsu_cpx_ld_dcache_perror_e),
2942
               .lsu_cpx_pkt_ld_err      (lsu_cpx_pkt_ld_err[1:0]),
2943
               .ifu_lsu_nceen           (ifu_lsu_nceen[3:0]),
2944
               .tlu_lsu_ldxa_async_data_vld(tlu_lsu_ldxa_async_data_vld),
2945
               .tlu_lsu_hpv_priv        (tlu_lsu_hpv_priv[3:0]),
2946
               .tlu_lsu_hpstate_en      (tlu_lsu_hpstate_en[3:0]),
2947
               .ifu_lsu_memref_d        (ifu_lsu_memref_d),
2948
               .ifu_lsu_pref_inst_e     (ifu_lsu_pref_inst_e),
2949
               .lsu_pref_pcx_req        (lsu_pref_pcx_req),
2950
               .lsu_cpx_pkt_prefetch2   (lsu_cpx_pkt_prefetch2),
2951
               .lsu_ld_pcx_rq_sel_d2    (lsu_ld_pcx_rq_sel_d2[3:0]),
2952
               .lsu_pcx_req_squash_d1   (lsu_pcx_req_squash_d1),
2953
               .lsu_bld_helper_cmplt_m  (lsu_bld_helper_cmplt_m),
2954
               .lsu_bld_cnt_m           (lsu_bld_cnt_m[2:0]),
2955
               .lsu_bld_reset           (lsu_bld_reset),
2956
               .ffu_lsu_blk_st_e        (ffu_lsu_blk_st_e),
2957
               .lsu_stb_rmo_st_issue    ({3'b000, lsu_stb_rmo_st_issue[0]}),
2958
               .lsu_cpx_rmo_st_ack      (lsu_cpx_rmo_st_ack[3:0]),
2959
               .lsu_dfq_flsh_cmplt      (lsu_dfq_flsh_cmplt[3:0]),
2960
               .stb_cam_hit             (stb_cam_hit_bf1),       // Templated
2961
               .ifu_tlu_flush_m         (ifu_tlu_flush_m),
2962
               .ctu_sscan_tid           (ctu_sscan_tid[3:0]),
2963
               .tte_data_perror_unc     (tte_data_perror_unc),
2964
               .asi_tte_data_perror     (asi_tte_data_perror),
2965
               .asi_tte_tag_perror      (asi_tte_tag_perror),
2966
               .tlu_dtlb_rw_index_g     (tlu_dtlb_rw_index_g[5:0]),
2967
               .lsu_local_early_flush_g (lsu_local_early_flush_g),
2968
               .lsu_dfq_vld             (lsu_dfq_vld),
2969
               .gdbginit_l              (gdbginit_l),
2970
               .dc_direct_map           (dc_direct_map),
2971
               .asi_d                   (asi_d[7:0]),
2972
               .lsu_dctl_asi_state_m    (lsu_dctl_asi_state_m[7:0]),
2973
               .lsu_ldst_va_g           (lsu_ldst_va_g[7:0]),
2974
               .lsu_ifu_err_addr_b39    (lsu_ifu_err_addr[39]),  // Templated
2975
               .lsu_dp_ctl_reg0         (lsu_dp_ctl_reg0[5:0]),
2976
               .lsu_dp_ctl_reg1         (6'b000000),
2977
               .lsu_dp_ctl_reg2         (6'b000000),
2978
               .lsu_dp_ctl_reg3         (6'b000000),
2979
               .ldd_in_dfq_out          (ldd_in_dfq_out),
2980
               .dcache_iob_addr_e       (dcache_iob_addr_e[7:0]),
2981
               .mbist_dcache_index      (mbist_dcache_index[6:0]),
2982
               .mbist_dcache_word       (mbist_dcache_word),
2983
               .lsu_diagnstc_wr_addr_e  (lsu_diagnstc_wr_addr_e[10:0]),
2984
               .st_dcfill_addr          (st_dcfill_addr[10:0]),
2985
               .lsu_dfq_ld_vld          (lsu_dfq_ld_vld),
2986
               .lsu_dfq_st_vld          (lsu_dfq_st_vld),
2987
               .lmq0_ldd_vld            (lmq0_ldd_vld),
2988
               .lmq1_ldd_vld            (lmq1_ldd_vld),
2989
               .lmq2_ldd_vld            (lmq2_ldd_vld),
2990
               .lmq3_ldd_vld            (lmq3_ldd_vld),
2991
               .lsu_dfq_byp_tid         (lsu_dfq_byp_tid[1:0]),
2992
               .dfq_byp_ff_en           (dfq_byp_ff_en),
2993
               .lsu_dcache_iob_way_e    (lsu_dcache_iob_way_e[1:0]),
2994
               .mbist_dcache_way        (mbist_dcache_way[1:0]),
2995
               .lsu_diagnstc_wr_way_e   (lsu_diagnstc_wr_way_e[1:0]),
2996
               .lsu_st_way_e            (lsu_st_way_e[1:0]),
2997
               .lmq0_pcx_pkt_way        (lmq0_pcx_pkt_way[1:0]),
2998
               .lmq1_pcx_pkt_way        (2'b00),
2999
               .lmq2_pcx_pkt_way        (2'b00),
3000
               .lmq3_pcx_pkt_way        (2'b00),
3001
               .lmq0_ld_rq_type         (lmq0_ld_rq_type[2:0]),
3002
               .lmq1_ld_rq_type         (lmq1_ld_rq_type[2:0]),
3003
               .lmq2_ld_rq_type         (lmq2_ld_rq_type[2:0]),
3004
               .lmq3_ld_rq_type         (lmq3_ld_rq_type[2:0]),
3005
               .lmq0_pcx_pkt_addr       (lmq0_pcx_pkt_addr[10:0]),
3006
               .lmq1_pcx_pkt_addr       (lmq1_pcx_pkt_addr[10:0]),
3007
               .lmq2_pcx_pkt_addr       (lmq2_pcx_pkt_addr[10:0]),
3008
               .lmq3_pcx_pkt_addr       (lmq3_pcx_pkt_addr[10:0]),
3009
               .lsu_ttype_vld_m2        (lsu_ttype_vld_m2_bf1),  // Templated
3010
               .tlu_early_flush_pipe2_w (tlu_early_flush_pipe2_w),
3011
               .lsu_st_dcfill_size_e    (lsu_st_dcfill_size_e[1:0]),
3012
               .mbist_dcache_write      (mbist_dcache_write),
3013
               .mbist_dcache_read       (mbist_dcache_read));
3014 95 fafa1971
 
3015 113 albert.wat
`else // !`ifdef FPGA_SYN_1THREAD
3016 95 fafa1971
 
3017
lsu_dctl dctl (
3018
               .so                      (short_scan1_3),
3019
               .si                      (short_scan1_2),
3020
 
3021
         .lsu_dtlb_cam_real_e     (lsu_dtlb_cam_real_e),
3022
 
3023
                      /*AUTOINST*/
3024
               // Outputs
3025
               .lsu_tlu_nucleus_ctxt_m  (lsu_tlu_nucleus_ctxt_m),
3026
               .lsu_quad_word_access_g  (lsu_quad_word_access_g),
3027
               .dctl_rst_l              (dctl_rst_l),
3028
               .lsu_tlu_wsr_inst_e      (lsu_tlu_wsr_inst_e),
3029
               .lsu_l2fill_fpld_e       (lsu_l2fill_fpld_e),
3030
               .dva_vld_m_bf            (dva_vld_m_bf[3:0]),
3031
               .lsu_no_spc_pref         (lsu_no_spc_pref[3:0]),
3032
               .ifu_tlu_flush_fd_w      (ifu_tlu_flush_fd_w),
3033
               .ifu_tlu_flush_fd2_w     (ifu_tlu_flush_fd2_w),
3034
               .ifu_tlu_flush_fd3_w     (ifu_tlu_flush_fd3_w),
3035
               .ifu_lsu_flush_w         (ifu_lsu_flush_w),
3036
               .lsu_tlu_thrid_d         (lsu_tlu_thrid_d[1:0]),
3037
               .lsu_diagnstc_data_sel   (lsu_diagnstc_data_sel[3:0]),
3038
               .lsu_diagnstc_va_sel     (lsu_diagnstc_va_sel[3:0]),
3039
               .lsu_err_addr_sel        (lsu_err_addr_sel[2:0]),
3040
               .dva_bit_wr_en_e         (dva_bit_wr_en_e[15:0]),
3041
               .dva_wr_adr_e            (dva_wr_adr_e[10:6]),
3042
               .lsu_exu_ldst_miss_w2    (lsu_exu_ldst_miss_w2),
3043
               .lsu_exu_dfill_vld_w2    (lsu_exu_dfill_vld_w2),
3044
               .lsu_ffu_ld_vld          (lsu_ffu_ld_vld),
3045
               .lsu_ld_miss_wb          (lsu_ld_miss_wb),
3046
               .lsu_dtlb_bypass_e       (lsu_dtlb_bypass_e),
3047 113 albert.wat
               .ld_pcx_pkt_g            (ld_pcx_pkt_g[`LMQ_WIDTH-1:40]),
3048 95 fafa1971
               .tlb_ldst_cam_vld        (tlb_ldst_cam_vld),
3049
               .ldxa_internal           (ldxa_internal),
3050
               .lsu_ifu_ldsta_internal_e(lsu_ifu_ldsta_internal_e),
3051
               .lsu_ifu_ldst_cmplt      (lsu_ifu_ldst_cmplt[3:0]),
3052
               .lsu_ifu_itlb_en         (lsu_ifu_itlb_en[3:0]),
3053
               .lsu_ifu_icache_en       (lsu_ifu_icache_en[3:0]),
3054
               .lmq_byp_data_en_w2      (lmq_byp_data_en_w2[3:0]),
3055
               .lmq_byp_data_fmx_sel    (lmq_byp_data_fmx_sel[3:0]),
3056
               .lmq_byp_data_mxsel0     (lmq_byp_data_mxsel0[3:0]),
3057
               .lmq_byp_data_mxsel1     (lmq_byp_data_mxsel1[3:0]),
3058
               .lmq_byp_data_mxsel2     (lmq_byp_data_mxsel2[3:0]),
3059
               .lmq_byp_data_mxsel3     (lmq_byp_data_mxsel3[3:0]),
3060
               .lmq_byp_ldxa_mxsel0     (lmq_byp_ldxa_mxsel0[2:0]),
3061
               .lmq_byp_ldxa_mxsel1     (lmq_byp_ldxa_mxsel1[2:0]),
3062
               .lmq_byp_ldxa_mxsel2     (lmq_byp_ldxa_mxsel2[2:0]),
3063
               .lmq_byp_ldxa_mxsel3     (lmq_byp_ldxa_mxsel3[2:0]),
3064
               .lsu_ld_thrd_byp_sel_e   (lsu_ld_thrd_byp_sel_e[2:0]),
3065
               .dcache_byte_wr_en_e     (dcache_byte_wr_en_e[15:0]),
3066
               .lsu_dcache_wr_vld_e     (lsu_dcache_wr_vld_e),
3067
               .lsu_ldstub_g            (lsu_ldstub_g),
3068
               .lsu_swap_g              (lsu_swap_g),
3069
               .lsu_tlu_dtlb_done       (lsu_tlu_dtlb_done),
3070
               .lsu_exu_thr_m           (lsu_exu_thr_m[1:0]),
3071
               .merge7_sel_byte0_m      (merge7_sel_byte0_m),
3072
               .merge7_sel_byte7_m      (merge7_sel_byte7_m),
3073
               .merge6_sel_byte1_m      (merge6_sel_byte1_m),
3074
               .merge6_sel_byte6_m      (merge6_sel_byte6_m),
3075
               .merge5_sel_byte2_m      (merge5_sel_byte2_m),
3076
               .merge5_sel_byte5_m      (merge5_sel_byte5_m),
3077
               .merge4_sel_byte3_m      (merge4_sel_byte3_m),
3078
               .merge4_sel_byte4_m      (merge4_sel_byte4_m),
3079
               .merge3_sel_byte0_m      (merge3_sel_byte0_m),
3080
               .merge3_sel_byte3_m      (merge3_sel_byte3_m),
3081
               .merge3_sel_byte4_m      (merge3_sel_byte4_m),
3082
               .merge3_sel_byte7_default_m(merge3_sel_byte7_default_m),
3083
               .merge3_sel_byte_m       (merge3_sel_byte_m),
3084
               .merge2_sel_byte1_m      (merge2_sel_byte1_m),
3085
               .merge2_sel_byte2_m      (merge2_sel_byte2_m),
3086
               .merge2_sel_byte5_m      (merge2_sel_byte5_m),
3087
               .merge2_sel_byte6_default_m(merge2_sel_byte6_default_m),
3088
               .merge2_sel_byte_m       (merge2_sel_byte_m),
3089
               .merge0_sel_byte0_m      (merge0_sel_byte0_m),
3090
               .merge0_sel_byte1_m      (merge0_sel_byte1_m),
3091
               .merge0_sel_byte2_m      (merge0_sel_byte2_m),
3092
               .merge0_sel_byte3_default_m(merge0_sel_byte3_default_m),
3093
               .merge0_sel_byte4_m      (merge0_sel_byte4_m),
3094
               .merge0_sel_byte5_m      (merge0_sel_byte5_m),
3095
               .merge0_sel_byte6_m      (merge0_sel_byte6_m),
3096
               .merge0_sel_byte7_default_m(merge0_sel_byte7_default_m),
3097
               .merge1_sel_byte0_m      (merge1_sel_byte0_m),
3098
               .merge1_sel_byte1_m      (merge1_sel_byte1_m),
3099
               .merge1_sel_byte2_m      (merge1_sel_byte2_m),
3100
               .merge1_sel_byte3_default_m(merge1_sel_byte3_default_m),
3101
               .merge1_sel_byte4_m      (merge1_sel_byte4_m),
3102
               .merge1_sel_byte5_m      (merge1_sel_byte5_m),
3103
               .merge1_sel_byte6_m      (merge1_sel_byte6_m),
3104
               .merge1_sel_byte7_default_m(merge1_sel_byte7_default_m),
3105
               .merge0_sel_byte_1h_m    (merge0_sel_byte_1h_m),
3106
               .merge1_sel_byte_1h_m    (merge1_sel_byte_1h_m),
3107
               .merge1_sel_byte_2h_m    (merge1_sel_byte_2h_m),
3108
               .lsu_dtagv_wr_vld_e      (lsu_dtagv_wr_vld_e),
3109
               .lsu_dtag_wrreq_x_e      (lsu_dtag_wrreq_x_e),
3110
               .lsu_dtag_index_sel_x_e  (lsu_dtag_index_sel_x_e),
3111
               .lsu_dtlb_wr_vld_e       (lsu_dtlb_wr_vld_e),
3112
               .lsu_dtlb_tag_rd_e       (lsu_dtlb_tag_rd_e),
3113
               .lsu_dtlb_data_rd_e      (lsu_dtlb_data_rd_e),
3114
               .lsu_dtlb_dmp_vld_e      (lsu_dtlb_dmp_vld_e),
3115
               .lsu_dtlb_dmp_all_e      (lsu_dtlb_dmp_all_e),
3116
               .lsu_dtlb_rwindex_vld_e  (lsu_dtlb_rwindex_vld_e),
3117
               .lsu_dtlb_invalid_all_l_m(lsu_dtlb_invalid_all_l_m),
3118
               .lsu_tlu_tlb_ld_inst_m   (lsu_tlu_tlb_ld_inst_m),
3119
               .lsu_tlu_tlb_st_inst_m   (lsu_tlu_tlb_st_inst_m),
3120
               .lsu_tlu_tlb_access_tid_m(lsu_tlu_tlb_access_tid_m[1:0]),
3121
               .lsu_tlb_data_rd_vld_g   (lsu_tlb_data_rd_vld_g),
3122
               .lsu_tlb_st_sel_m        (lsu_tlb_st_sel_m[3:0]),
3123
               .lsu_va_wtchpt0_wr_en_l  (lsu_va_wtchpt0_wr_en_l),
3124
               .lsu_va_wtchpt1_wr_en_l  (lsu_va_wtchpt1_wr_en_l),
3125
               .lsu_va_wtchpt2_wr_en_l  (lsu_va_wtchpt2_wr_en_l),
3126
               .lsu_va_wtchpt3_wr_en_l  (lsu_va_wtchpt3_wr_en_l),
3127
               .thread0_m               (thread0_m),
3128
               .thread1_m               (thread1_m),
3129
               .thread2_m               (thread2_m),
3130
               .thread3_m               (thread3_m),
3131
               .lsu_dctldp_thread0_m    (lsu_dctldp_thread0_m),
3132
               .lsu_dctldp_thread1_m    (lsu_dctldp_thread1_m),
3133
               .lsu_dctldp_thread2_m    (lsu_dctldp_thread2_m),
3134
               .lsu_dctldp_thread3_m    (lsu_dctldp_thread3_m),
3135
               .thread0_g               (thread0_g),
3136
               .thread1_g               (thread1_g),
3137
               .thread2_g               (thread2_g),
3138
               .thread3_g               (thread3_g),
3139
               .lsu_tlu_nonalt_ldst_m   (lsu_tlu_nonalt_ldst_m),
3140
               .lsu_tlu_xslating_ldst_m (lsu_tlu_xslating_ldst_m),
3141
               .lsu_tlu_ctxt_sel_m      (lsu_tlu_ctxt_sel_m[2:0]),
3142
               .lsu_tlu_write_op_m      (lsu_tlu_write_op_m),
3143
               .lsu_dtlb_addr_mask_l_e  (lsu_dtlb_addr_mask_l_e),
3144
               .dva_din_e               (dva_din_e),
3145
               .lsu_diagnstc_dtagv_prty_invrt_e(lsu_diagnstc_dtagv_prty_invrt_e),
3146
               .lsu_ifu_asi_load        (lsu_ifu_asi_load),
3147
               .lsu_ifu_asi_thrid       (lsu_ifu_asi_thrid[1:0]),
3148
               .lsu_ifu_asi_vld         (lsu_ifu_asi_vld),
3149
               .lsu_quad_asi_e          (lsu_quad_asi_e),
3150
               .lsu_local_ldxa_sel_g    (lsu_local_ldxa_sel_g),
3151
               .lsu_dtag_rsel_m         (lsu_dtag_rsel_m[3:0]),
3152
               .lsu_tlbop_force_swo     (lsu_tlbop_force_swo),
3153
               .lsu_atomic_pkt2_bsel_g  (lsu_atomic_pkt2_bsel_g[2:0]),
3154
               .lsu_dcache_tag_perror_g (lsu_dcache_tag_perror_g),
3155
               .lsu_dcache_data_perror_g(lsu_dcache_data_perror_g),
3156
               .lsu_ifu_l2_unc_error    (lsu_ifu_l2_unc_error),
3157
               .lsu_ifu_l2_corr_error   (lsu_ifu_l2_corr_error),
3158
               .lsu_ifu_dcache_data_perror(lsu_ifu_dcache_data_perror),
3159
               .lsu_ifu_dcache_tag_perror(lsu_ifu_dcache_tag_perror),
3160
               .lsu_ifu_error_tid       (lsu_ifu_error_tid[1:0]),
3161
               .lsu_ifu_io_error        (lsu_ifu_io_error),
3162
               .lsu_tlu_squash_va_oor_m (lsu_tlu_squash_va_oor_m),
3163
               .lsu_squash_va_oor_m     (lsu_squash_va_oor_m),
3164
               .tlb_cam_hit_g           (tlb_cam_hit_g),
3165
               .lsu_st_hw_le_g          (lsu_st_hw_le_g),
3166
               .lsu_st_w_or_dbl_le_g    (lsu_st_w_or_dbl_le_g),
3167
               .lsu_st_x_le_g           (lsu_st_x_le_g),
3168
               .lsu_swap_sel_default_g  (lsu_swap_sel_default_g),
3169
               .lsu_swap_sel_default_byte_7_2_g(lsu_swap_sel_default_byte_7_2_g),
3170
               .lsu_st_rmo_m            (lsu_st_rmo_m),
3171
               .lsu_bst_in_pipe_m       (lsu_bst_in_pipe_m),
3172
               .lsu_snap_blk_st_m       (lsu_snap_blk_st_m),
3173
               .lsu_blk_st_m            (lsu_blk_st_m),
3174
               .lsu_blkst_pgnum_m       (lsu_blkst_pgnum_m[39:10]),
3175
               .lsu_ffu_blk_asi_e       (lsu_ffu_blk_asi_e),
3176
               .lsu_blk_asi_m           (lsu_blk_asi_m),
3177
               .lsu_nonalt_nucl_access_m(lsu_nonalt_nucl_access_m),
3178
               .dcache_alt_mx_sel_e     (dcache_alt_mx_sel_e),
3179
               .dcache_alt_mx_sel_e_bf  (dcache_alt_mx_sel_e_bf),
3180
               .dcache_rvld_e           (dcache_rvld_e),
3181
               .lsu_dc_iob_access_e     (lsu_dc_iob_access_e),
3182
               .lsu_ifu_ldst_miss_w     (lsu_ifu_ldst_miss_w),
3183
               .lsu_ifu_dc_parity_error_w2(lsu_ifu_dc_parity_error_w2),
3184
               .lsu_ldst_inst_vld_e     (lsu_ldst_inst_vld_e),
3185
               .lsu_local_ldxa_tlbrd_sel_g(lsu_local_ldxa_tlbrd_sel_g),
3186
               .lsu_local_diagnstc_tagrd_sel_g(lsu_local_diagnstc_tagrd_sel_g),
3187
               .lsu_va_wtchpt_sel_g     (lsu_va_wtchpt_sel_g),
3188
               .asi_state_wr_thrd       (asi_state_wr_thrd[3:0]),
3189
               .thread0_d               (thread0_d),
3190
               .thread1_d               (thread1_d),
3191
               .thread2_d               (thread2_d),
3192
               .thread3_d               (thread3_d),
3193
               .tlu_lsu_asi_update_g    (tlu_lsu_asi_update_g),
3194
               .pctxt_state_wr_thrd     (pctxt_state_wr_thrd[3:0]),
3195
               .sctxt_state_wr_thrd     (sctxt_state_wr_thrd[3:0]),
3196
               .thread_pctxt            (thread_pctxt),
3197
               .thread_sctxt            (thread_sctxt),
3198
               .thread_actxt            (thread_actxt),
3199
               .thread_default          (thread_default),
3200
               .thread0_ctxt            (thread0_ctxt),
3201
               .thread1_ctxt            (thread1_ctxt),
3202
               .thread2_ctxt            (thread2_ctxt),
3203
               .thread3_ctxt            (thread3_ctxt),
3204
               .pid_state_wr_en         (pid_state_wr_en[3:0]),
3205
               .thread0_e               (thread0_e),
3206
               .thread1_e               (thread1_e),
3207
               .thread2_e               (thread2_e),
3208
               .thread3_e               (thread3_e),
3209
               .dfture_tap_wr_mx_sel    (dfture_tap_wr_mx_sel),
3210
               .lctl_rst                (lctl_rst[3:0]),
3211
               .lsu_ctl_state_wr_en     (lsu_ctl_state_wr_en[3:0]),
3212
               .lsuctl_ctlbits_wr_en    (lsuctl_ctlbits_wr_en[3:0]),
3213
               .dfture_tap_rd_en        (dfture_tap_rd_en[3:0]),
3214
               .bist_tap_wr_en          (bist_tap_wr_en),
3215
               .bistctl_wr_en           (),                      // Templated
3216
               .bist_ctl_reg_wr_en      (bist_ctl_reg_wr_en),
3217
               .mrgn_tap_wr_en          (mrgn_tap_wr_en),
3218
               .ldiagctl_wr_en          (ldiagctl_wr_en),
3219
               .misc_ctl_sel_din        (misc_ctl_sel_din[3:0]),
3220
               .lsu_asi_sel_fmx1        (lsu_asi_sel_fmx1[2:0]),
3221
               .lsu_asi_sel_fmx2        (lsu_asi_sel_fmx2[2:0]),
3222
               .tlb_access_en0_g        (tlb_access_en0_g),
3223
               .tlb_access_en1_g        (tlb_access_en1_g),
3224
               .tlb_access_en2_g        (tlb_access_en2_g),
3225
               .tlb_access_en3_g        (tlb_access_en3_g),
3226
               .tlb_access_sel_thrd0    (tlb_access_sel_thrd0),
3227
               .tlb_access_sel_thrd1    (tlb_access_sel_thrd1),
3228
               .tlb_access_sel_thrd2    (tlb_access_sel_thrd2),
3229
               .tlb_access_sel_default  (tlb_access_sel_default),
3230
               .mrgnctl_wr_en           (mrgnctl_wr_en),
3231
               .hpv_priv_m              (hpv_priv_m),
3232
               .hpstate_en_m            (hpstate_en_m),
3233
               .dcache_arry_data_sel_m  (dcache_arry_data_sel_m),
3234
               .dtlb_bypass_m           (dtlb_bypass_m),
3235
               .lsu_alt_space_m         (lsu_alt_space_m),
3236
               .atomic_m                (atomic_m),
3237
               .ldst_dbl_m              (ldst_dbl_m),
3238
               .fp_ldst_m               (fp_ldst_m),
3239
               .lda_internal_m          (lda_internal_m),
3240
               .sta_internal_m          (sta_internal_m),
3241
               .cam_real_m              (cam_real_m),
3242
               .data_rd_vld_g           (data_rd_vld_g),
3243
               .tag_rd_vld_g            (tag_rd_vld_g),
3244
               .ldst_sz_m               (ldst_sz_m[1:0]),
3245
               .asi_internal_m          (asi_internal_m),
3246
               .rd_only_ltlb_asi_e      (rd_only_ltlb_asi_e),
3247
               .wr_only_ltlb_asi_e      (wr_only_ltlb_asi_e),
3248
               .dfill_tlb_asi_e         (dfill_tlb_asi_e),
3249
               .ifill_tlb_asi_e         (ifill_tlb_asi_e),
3250
               .nofault_asi_m           (nofault_asi_m),
3251
               .as_if_user_asi_m        (as_if_user_asi_m),
3252
               .atomic_asi_m            (atomic_asi_m),
3253
               .phy_use_ec_asi_m        (phy_use_ec_asi_m),
3254
               .phy_byp_ec_asi_m        (phy_byp_ec_asi_m),
3255
               .quad_asi_m              (quad_asi_m),
3256
               .binit_quad_asi_m        (binit_quad_asi_m),
3257
               .blk_asi_m               (blk_asi_m),
3258
               .recognized_asi_m        (recognized_asi_m),
3259
               .strm_asi_m              (strm_asi_m),
3260
               .mmu_rd_only_asi_m       (mmu_rd_only_asi_m),
3261
               .rd_only_asi_m           (rd_only_asi_m),
3262
               .wr_only_asi_m           (wr_only_asi_m),
3263
               .unimp_asi_m             (unimp_asi_m),
3264
               .va_wtchpt_cmp_en_m      (va_wtchpt_cmp_en_m),
3265
               .lsu_tlu_async_ttype_vld_w2(lsu_tlu_async_ttype_vld_w2),
3266
               .lsu_tlu_async_ttype_w2  (lsu_tlu_async_ttype_w2[6:0]),
3267
               .lsu_tlu_async_tid_w2    (lsu_tlu_async_tid_w2[1:0]),
3268
               .async_tlb_index         (async_tlb_index[5:0]),
3269
               .l2fill_vld_m            (l2fill_vld_m),
3270
               .ld_thrd_byp_mxsel_m     (ld_thrd_byp_mxsel_m[3:0]),
3271
               .morphed_addr_m          (morphed_addr_m[7:0]),
3272
               .signed_ldst_byte_m      (signed_ldst_byte_m),
3273
               .signed_ldst_hw_m        (signed_ldst_hw_m),
3274
               .signed_ldst_w_m         (signed_ldst_w_m),
3275
               .lsu_tlb_asi_data_perr_g (lsu_tlb_asi_data_perr_g),
3276
               .lsu_tlb_asi_tag_perr_g  (lsu_tlb_asi_tag_perr_g),
3277
               .lsu_sscan_data          (lsu_sscan_data[14:13]), // Templated
3278
               .lsu_ld_inst_vld_g       (lsu_ld_inst_vld_g[3:0]),
3279
               .lsu_dcache_rand         (lsu_dcache_rand[1:0]),
3280
               .lsu_encd_way_hit        (lsu_encd_way_hit[1:0]),
3281
               .lsu_way_hit_or          (lsu_way_hit_or),
3282
               .lsu_memref_m            (lsu_memref_m),
3283
               .lsu_flsh_inst_m         (lsu_flsh_inst_m),
3284
               .lsu_ifu_asi_data_en_l   (lsu_ifu_asi_data_en_l),
3285
               .lsu_dcache_fill_addr_e  (lsu_dcache_fill_addr_e[10:3]),
3286
               .lsu_dcache_fill_addr_e_err(lsu_dcache_fill_addr_e_err[10:4]),
3287
               .lsu_thread_g            (lsu_thread_g[3:0]),
3288
               .lmq_ldd_vld             (lmq_ldd_vld),
3289
               .lsu_bist_rsel_way_e     (lsu_bist_rsel_way_e[3:0]),
3290
               .lsu_dcache_fill_way_e   (lsu_dcache_fill_way_e[3:0]),
3291
               .lmq_ld_addr_b3          (lmq_ld_addr_b3),
3292
               .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[3:0]),
3293
               .lsu_dcfill_data_mx_sel_e(lsu_dcfill_data_mx_sel_e),
3294
               // Inputs
3295
               .se                      (se),
3296
               .sehold                  (sehold),
3297
               .rst_tri_en              (mux_drive_disable),     // Templated
3298
               .rclk                    (clk),                   // Templated
3299
               .grst_l                  (grst_l),
3300
               .arst_l                  (arst_l),
3301
               .lsu_diag_va_prty_invrt  (lsu_diag_va_prty_invrt),
3302
               .dva_svld_e              (dva_svld_e),
3303
               .dva_snp_bit_wr_en_e     (dva_snp_bit_wr_en_e[15:0]),
3304
               .dva_snp_addr_e          (dva_snp_addr_e[4:0]),
3305 113 albert.wat
               .lsu_tte_data_cp_g       (tlb_rd_tte_data[`STLB_DATA_CP]), // Templated
3306 95 fafa1971
               .lsu_l2fill_vld          (lsu_l2fill_vld),
3307
               .ld_inst_vld_e           (ifu_lsu_ld_inst_e),     // Templated
3308
               .st_inst_vld_e           (ifu_lsu_st_inst_e),     // Templated
3309
               .ifu_lsu_ldst_fp_e       (ifu_lsu_ldst_fp_e),
3310
               .ldst_sz_e               (ifu_lsu_ldst_size_e[1:0]), // Templated
3311
               .lsu_ldst_va_b12_b11_m   (lsu_ldst_va_m[12:11]),  // Templated
3312
               .lsu_ldst_va_b7_b0_m     (lsu_ldst_va_m[7:0]),    // Templated
3313
               .ifu_lsu_rd_e            (ifu_lsu_rd_e[4:0]),
3314
               .tlb_cam_hit             (tlb_cam_hit),
3315
               .ifu_tlu_sraddr_d        (ifu_tlu_sraddr_d[6:0]),
3316
               .ifu_tlu_wsr_inst_d      (ifu_tlu_wsr_inst_d),
3317
               .ifu_lsu_alt_space_d     (ifu_lsu_alt_space_d),
3318
               .tlu_lsu_int_ldxa_vld_w2 (tlu_lsu_int_ldxa_vld_w2),
3319
               .tlu_lsu_int_ld_ill_va_w2(tlu_lsu_int_ld_ill_va_w2),
3320
               .tlu_lsu_ldxa_tid_w2     (tlu_lsu_ldxa_tid_w2[1:0]),
3321
               .ifu_lsu_ldxa_data_vld_w2(ifu_lsu_ldxa_data_vld_w2),
3322
               .ifu_lsu_ldxa_illgl_va_w2(ifu_lsu_ldxa_illgl_va_w2),
3323
               .ifu_lsu_ldxa_tid_w2     (ifu_lsu_ldxa_tid_w2[1:0]),
3324
               .ifu_lsu_asi_rd_unc      (ifu_lsu_asi_rd_unc),
3325
               .tlu_lsu_tl_zero         (tlu_lsu_tl_zero[3:0]),
3326
               .ifu_lsu_thrid_s         (ifu_lsu_thrid_s[1:0]),
3327
               .ifu_lsu_ldst_dbl_e      (ifu_lsu_ldst_dbl_e),
3328
               .ld_stb_full_raw_w2      (ld_stb_full_raw_w2),
3329
               .ld_sec_active           (ld_sec_active),
3330
               .ifu_tlu_inst_vld_m      (ifu_tlu_inst_vld_m_bf1), // Templated
3331
               .lsu_l2fill_bendian_m    (lsu_l2fill_bendian_m),
3332
               .lmq0_l2fill_fpld        (lmq0_l2fill_fpld),
3333
               .lmq1_l2fill_fpld        (lmq1_l2fill_fpld),
3334
               .lmq2_l2fill_fpld        (lmq2_l2fill_fpld),
3335
               .lmq3_l2fill_fpld        (lmq3_l2fill_fpld),
3336
               .cache_way_hit_buf1      (cache_way_hit_buf1[3:0]),
3337
               .cache_hit               (cache_hit),
3338
               .lmq0_byp_misc_sz        (lmq0_byp_misc_sz[1:0]),
3339
               .lmq1_byp_misc_sz        (lmq1_byp_misc_sz[1:0]),
3340
               .lmq2_byp_misc_sz        (lmq2_byp_misc_sz[1:0]),
3341
               .lmq3_byp_misc_sz        (lmq3_byp_misc_sz[1:0]),
3342
               .lsu_l2fill_sign_extend_m(lsu_l2fill_sign_extend_m),
3343
               .lsu_l1hit_sign_extend_e (ifu_lsu_sign_ext_e),    // Templated
3344
               .tlu_lsu_pstate_cle      (tlu_lsu_pstate_cle[3:0]),
3345
               .tlu_lsu_pstate_am       (tlu_lsu_pstate_am[3:0]),
3346
               .tlb_pgnum               ({tlb_pgnum_buf[39:10]}), // Templated
3347
               .tlb_demap_nctxt         (tlu_dtlb_dmp_nctxt_g),  // Templated
3348
               .tlb_demap_pctxt         (tlu_dtlb_dmp_pctxt_g),  // Templated
3349
               .tlb_demap_sctxt         (tlu_dtlb_dmp_sctxt_g),  // Templated
3350
               .tlb_demap_actxt         (tlu_dtlb_dmp_actxt_g),  // Templated
3351
               .tlb_demap_thrid         (tlu_idtlb_dmp_thrid_g[1:0]), // Templated
3352
               .ifu_lsu_casa_e          (ifu_lsu_casa_e),
3353
               .ifu_lsu_ldstub_e        (ifu_lsu_ldstub_e),
3354
               .ifu_lsu_swap_e          (ifu_lsu_swap_e),
3355
               .lsu_atm_st_cmplt_e      (lsu_atm_st_cmplt_e),
3356
               .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
3357
               .spu_lsu_ldxa_data_vld_w2(spu_lsu_ldxa_data_vld_w2),
3358
               .spu_lsu_ldxa_illgl_va_w2(spu_lsu_ldxa_illgl_va_w2),
3359
               .spu_lsu_ldxa_tid_w2     (spu_lsu_ldxa_tid_w2[1:0]),
3360
               .spu_lsu_stxa_ack_tid    (spu_lsu_stxa_ack_tid[1:0]),
3361
               .spu_lsu_stxa_ack        (spu_lsu_stxa_ack),
3362
               .spu_lsu_unc_error_w2    (spu_lsu_unc_error_w2),
3363
               .spu_lsu_int_w2          (spu_lsu_int_w2),
3364
               .tlu_lsu_stxa_ack        (tlu_lsu_stxa_ack),
3365
               .tlu_lsu_stxa_ack_tid    (tlu_lsu_stxa_ack_tid[1:0]),
3366
               .lsu_tlb_invert_endian_g (tlb_rd_tte_data_ie_buf), // Templated
3367
               .lmq0_ncache_ld          (lmq0_ncache_ld),
3368
               .lmq1_ncache_ld          (lmq1_ncache_ld),
3369
               .lmq2_ncache_ld          (lmq2_ncache_ld),
3370
               .lmq3_ncache_ld          (lmq3_ncache_ld),
3371
               .ifu_tlu_mb_inst_e       (ifu_tlu_mb_inst_e),
3372
               .ifu_tlu_flsh_inst_e     (ifu_tlu_flsh_inst_e),
3373
               .lsu_stb_empty           (lsu_stb_empty[3:0]),
3374
               .tlu_dtlb_tag_rd_g       (tlu_dtlb_tag_rd_g),
3375
               .tlu_dtlb_data_rd_g      (tlu_dtlb_data_rd_g),
3376
               .tlu_dtlb_dmp_vld_g      (tlu_dtlb_dmp_vld_g),
3377
               .tlu_dtlb_dmp_all_g      (tlu_dtlb_dmp_all_g),
3378
               .tlu_dtlb_rw_index_vld_g (tlu_dtlb_rw_index_vld_g),
3379
               .tlu_dtlb_invalidate_all_g(tlu_dtlb_invalidate_all_g),
3380
               .lsu_st_wr_dcache        (lsu_st_wr_dcache),
3381
               .tlu_lsu_asi_update_m    (tlu_lsu_asi_update_m),
3382
               .tlu_lsu_tid_m           (tlu_lsu_tid_m[1:0]),
3383
               .lsu_rd_dtag_parity_g    (lsu_rd_dtag_parity_g[3:0]),
3384
               .dcache_rparity_err_wb   (dcache_rparity_err_wb),
3385
               .lsu_diagnstc_wr_data_b0 (lsu_diagnstc_wr_data_b0),
3386
               .lsu_byp_ldd_oddrd_m     (lsu_byp_ldd_oddrd_m),
3387
               .tlu_lsu_redmode         (tlu_lsu_redmode[3:0]),
3388
               .tlu_lsu_redmode_rst_d1  (tlu_lsu_redmode_rst_d1[3:0]),
3389
               .dva_vld_m               (dva_vld_m[3:0]),
3390
               .lsu_dfill_tid_e         (dfq_tid[1:0]),          // Templated
3391
               .ifu_lsu_asi_ack         (ifu_lsu_asi_ack),
3392
               .lsu_intrpt_cmplt        (lsu_intrpt_cmplt[3:0]),
3393
               .lsu_iobrdge_tap_rq_type_b8(lsu_iobrdge_tap_rq_type[8:8]), // Templated
3394
               .lsu_iobrdge_tap_rq_type_b6_b3(lsu_iobrdge_tap_rq_type[6:3]), // Templated
3395
               .lsu_iobrdge_tap_rq_type_b1_b0(lsu_iobrdge_tap_rq_type[1:0]), // Templated
3396
               .lsu_iobrdge_fwd_pkt_vld (lsu_iobrdge_fwd_pkt_vld),
3397
               .lsu_cpx_ld_dtag_perror_e(lsu_cpx_ld_dtag_perror_e),
3398
               .lsu_cpx_ld_dcache_perror_e(lsu_cpx_ld_dcache_perror_e),
3399
               .lsu_cpx_pkt_ld_err      (lsu_cpx_pkt_ld_err[1:0]),
3400
               .ifu_lsu_nceen           (ifu_lsu_nceen[3:0]),
3401
               .tlu_lsu_ldxa_async_data_vld(tlu_lsu_ldxa_async_data_vld),
3402
               .tlu_lsu_hpv_priv        (tlu_lsu_hpv_priv[3:0]),
3403
               .tlu_lsu_hpstate_en      (tlu_lsu_hpstate_en[3:0]),
3404
               .ifu_lsu_memref_d        (ifu_lsu_memref_d),
3405
               .ifu_lsu_pref_inst_e     (ifu_lsu_pref_inst_e),
3406
               .lsu_pref_pcx_req        (lsu_pref_pcx_req),
3407
               .lsu_cpx_pkt_prefetch2   (lsu_cpx_pkt_prefetch2),
3408
               .lsu_ld_pcx_rq_sel_d2    (lsu_ld_pcx_rq_sel_d2[3:0]),
3409
               .lsu_pcx_req_squash_d1   (lsu_pcx_req_squash_d1),
3410
               .lsu_bld_helper_cmplt_m  (lsu_bld_helper_cmplt_m),
3411
               .lsu_bld_cnt_m           (lsu_bld_cnt_m[2:0]),
3412
               .lsu_bld_reset           (lsu_bld_reset),
3413
               .ffu_lsu_blk_st_e        (ffu_lsu_blk_st_e),
3414
               .lsu_stb_rmo_st_issue    (lsu_stb_rmo_st_issue[3:0]),
3415
               .lsu_cpx_rmo_st_ack      (lsu_cpx_rmo_st_ack[3:0]),
3416
               .lsu_dfq_flsh_cmplt      (lsu_dfq_flsh_cmplt[3:0]),
3417
               .stb_cam_hit             (stb_cam_hit_bf1),       // Templated
3418
               .ifu_tlu_flush_m         (ifu_tlu_flush_m),
3419
               .ctu_sscan_tid           (ctu_sscan_tid[3:0]),
3420
               .tte_data_perror_unc     (tte_data_perror_unc),
3421
               .asi_tte_data_perror     (asi_tte_data_perror),
3422
               .asi_tte_tag_perror      (asi_tte_tag_perror),
3423
               .tlu_dtlb_rw_index_g     (tlu_dtlb_rw_index_g[5:0]),
3424
               .lsu_local_early_flush_g (lsu_local_early_flush_g),
3425
               .lsu_dfq_vld             (lsu_dfq_vld),
3426
               .gdbginit_l              (gdbginit_l),
3427
               .dc_direct_map           (dc_direct_map),
3428
               .asi_d                   (asi_d[7:0]),
3429
               .lsu_dctl_asi_state_m    (lsu_dctl_asi_state_m[7:0]),
3430
               .lsu_ldst_va_g           (lsu_ldst_va_g[7:0]),
3431
               .lsu_ifu_err_addr_b39    (lsu_ifu_err_addr[39]),  // Templated
3432
               .lsu_dp_ctl_reg0         (lsu_dp_ctl_reg0[5:0]),
3433
               .lsu_dp_ctl_reg1         (lsu_dp_ctl_reg1[5:0]),
3434
               .lsu_dp_ctl_reg2         (lsu_dp_ctl_reg2[5:0]),
3435
               .lsu_dp_ctl_reg3         (lsu_dp_ctl_reg3[5:0]),
3436
               .ldd_in_dfq_out          (ldd_in_dfq_out),
3437
               .dcache_iob_addr_e       (dcache_iob_addr_e[7:0]),
3438
               .mbist_dcache_index      (mbist_dcache_index[6:0]),
3439
               .mbist_dcache_word       (mbist_dcache_word),
3440
               .lsu_diagnstc_wr_addr_e  (lsu_diagnstc_wr_addr_e[10:0]),
3441
               .st_dcfill_addr          (st_dcfill_addr[10:0]),
3442
               .lsu_dfq_ld_vld          (lsu_dfq_ld_vld),
3443
               .lsu_dfq_st_vld          (lsu_dfq_st_vld),
3444
               .lmq0_ldd_vld            (lmq0_ldd_vld),
3445
               .lmq1_ldd_vld            (lmq1_ldd_vld),
3446
               .lmq2_ldd_vld            (lmq2_ldd_vld),
3447
               .lmq3_ldd_vld            (lmq3_ldd_vld),
3448
               .lsu_dfq_byp_tid         (lsu_dfq_byp_tid[1:0]),
3449
               .dfq_byp_ff_en           (dfq_byp_ff_en),
3450
               .lsu_dcache_iob_way_e    (lsu_dcache_iob_way_e[1:0]),
3451
               .mbist_dcache_way        (mbist_dcache_way[1:0]),
3452
               .lsu_diagnstc_wr_way_e   (lsu_diagnstc_wr_way_e[1:0]),
3453
               .lsu_st_way_e            (lsu_st_way_e[1:0]),
3454
               .lmq0_pcx_pkt_way        (lmq0_pcx_pkt_way[1:0]),
3455
               .lmq1_pcx_pkt_way        (lmq1_pcx_pkt_way[1:0]),
3456
               .lmq2_pcx_pkt_way        (lmq2_pcx_pkt_way[1:0]),
3457
               .lmq3_pcx_pkt_way        (lmq3_pcx_pkt_way[1:0]),
3458
               .lmq0_ld_rq_type         (lmq0_ld_rq_type[2:0]),
3459
               .lmq1_ld_rq_type         (lmq1_ld_rq_type[2:0]),
3460
               .lmq2_ld_rq_type         (lmq2_ld_rq_type[2:0]),
3461
               .lmq3_ld_rq_type         (lmq3_ld_rq_type[2:0]),
3462
               .lmq0_pcx_pkt_addr       (lmq0_pcx_pkt_addr[10:0]),
3463
               .lmq1_pcx_pkt_addr       (lmq1_pcx_pkt_addr[10:0]),
3464
               .lmq2_pcx_pkt_addr       (lmq2_pcx_pkt_addr[10:0]),
3465
               .lmq3_pcx_pkt_addr       (lmq3_pcx_pkt_addr[10:0]),
3466
               .lsu_ttype_vld_m2        (lsu_ttype_vld_m2_bf1),  // Templated
3467
               .tlu_early_flush_pipe2_w (tlu_early_flush_pipe2_w),
3468
               .lsu_st_dcfill_size_e    (lsu_st_dcfill_size_e[1:0]),
3469
               .mbist_dcache_write      (mbist_dcache_write),
3470
               .mbist_dcache_read       (mbist_dcache_read));
3471 113 albert.wat
`endif // !`ifdef FPGA_SYN_1THREAD
3472 95 fafa1971
 
3473
/*lsu_dcdp AUTO_TEMPLATE (
3474
           .dcache_alt_mx_sel_e  (dcache_alt_mx_sel_e_bf),
3475
           .rst_tri_en           (mux_drive_disable),
3476
           .rclk                 (clk));
3477
*/
3478
 
3479
lsu_dcdp dcdp (
3480
               .so                      (scan0_2),
3481
               .si                      (scan0_1),
3482
                /*AUTOINST*/
3483
               // Outputs
3484
               .dcache_rdata_wb_buf     (dcache_rdata_wb_buf[63:0]),
3485
               .mbist_dcache_data_in    (mbist_dcache_data_in[71:0]),
3486
               .lsu_exu_dfill_data_w2   (lsu_exu_dfill_data_w2[63:0]),
3487
               .lsu_ffu_ld_data         (lsu_ffu_ld_data[63:0]),
3488
               .stb_rdata_ramc_buf      (stb_rdata_ramc_buf[14:9]),
3489
               // Inputs
3490
               .rclk                    (clk),                   // Templated
3491
               .se                      (se),
3492
               .rst_tri_en              (mux_drive_disable),     // Templated
3493
               .dcache_rdata_wb         (dcache_rdata_wb[63:0]),
3494
               .dcache_rparity_wb       (dcache_rparity_wb[7:0]),
3495
               .dcache_rdata_msb_w0_m   (dcache_rdata_msb_w0_m[7:0]),
3496
               .dcache_rdata_msb_w1_m   (dcache_rdata_msb_w1_m[7:0]),
3497
               .dcache_rdata_msb_w2_m   (dcache_rdata_msb_w2_m[7:0]),
3498
               .dcache_rdata_msb_w3_m   (dcache_rdata_msb_w3_m[7:0]),
3499
               .lsu_bist_rsel_way_e     (lsu_bist_rsel_way_e[3:0]),
3500
               .dcache_alt_mx_sel_e     (dcache_alt_mx_sel_e_bf), // Templated
3501
               .cache_way_hit_buf2      (cache_way_hit_buf2[3:0]),
3502
               .morphed_addr_m          (morphed_addr_m[7:0]),
3503
               .signed_ldst_byte_m      (signed_ldst_byte_m),
3504
               .signed_ldst_hw_m        (signed_ldst_hw_m),
3505
               .signed_ldst_w_m         (signed_ldst_w_m),
3506
               .merge7_sel_byte0_m      (merge7_sel_byte0_m),
3507
               .merge7_sel_byte7_m      (merge7_sel_byte7_m),
3508
               .merge6_sel_byte1_m      (merge6_sel_byte1_m),
3509
               .merge6_sel_byte6_m      (merge6_sel_byte6_m),
3510
               .merge5_sel_byte2_m      (merge5_sel_byte2_m),
3511
               .merge5_sel_byte5_m      (merge5_sel_byte5_m),
3512
               .merge4_sel_byte3_m      (merge4_sel_byte3_m),
3513
               .merge4_sel_byte4_m      (merge4_sel_byte4_m),
3514
               .merge3_sel_byte0_m      (merge3_sel_byte0_m),
3515
               .merge3_sel_byte3_m      (merge3_sel_byte3_m),
3516
               .merge3_sel_byte4_m      (merge3_sel_byte4_m),
3517
               .merge3_sel_byte7_default_m(merge3_sel_byte7_default_m),
3518
               .merge3_sel_byte_m       (merge3_sel_byte_m),
3519
               .merge2_sel_byte1_m      (merge2_sel_byte1_m),
3520
               .merge2_sel_byte2_m      (merge2_sel_byte2_m),
3521
               .merge2_sel_byte5_m      (merge2_sel_byte5_m),
3522
               .merge2_sel_byte6_default_m(merge2_sel_byte6_default_m),
3523
               .merge2_sel_byte_m       (merge2_sel_byte_m),
3524
               .merge0_sel_byte0_m      (merge0_sel_byte0_m),
3525
               .merge0_sel_byte1_m      (merge0_sel_byte1_m),
3526
               .merge0_sel_byte2_m      (merge0_sel_byte2_m),
3527
               .merge0_sel_byte3_default_m(merge0_sel_byte3_default_m),
3528
               .merge0_sel_byte4_m      (merge0_sel_byte4_m),
3529
               .merge0_sel_byte5_m      (merge0_sel_byte5_m),
3530
               .merge0_sel_byte6_m      (merge0_sel_byte6_m),
3531
               .merge0_sel_byte7_default_m(merge0_sel_byte7_default_m),
3532
               .merge1_sel_byte0_m      (merge1_sel_byte0_m),
3533
               .merge1_sel_byte1_m      (merge1_sel_byte1_m),
3534
               .merge1_sel_byte2_m      (merge1_sel_byte2_m),
3535
               .merge1_sel_byte3_default_m(merge1_sel_byte3_default_m),
3536
               .merge1_sel_byte4_m      (merge1_sel_byte4_m),
3537
               .merge1_sel_byte5_m      (merge1_sel_byte5_m),
3538
               .merge1_sel_byte6_m      (merge1_sel_byte6_m),
3539
               .merge1_sel_byte7_default_m(merge1_sel_byte7_default_m),
3540
               .merge0_sel_byte_1h_m    (merge0_sel_byte_1h_m),
3541
               .merge1_sel_byte_1h_m    (merge1_sel_byte_1h_m),
3542
               .merge1_sel_byte_2h_m    (merge1_sel_byte_2h_m),
3543
               .stb_rdata_ramc          (stb_rdata_ramc[14:9]));
3544
 
3545
/*
3546
bw_r_tlb  AUTO_TEMPLATE (
3547
                 .rst_tri_en            (mem_write_disable),
3548
                 .rclk                  (clk),
3549
                 .adj                   (lsu_dtlb_mrgn[7:0]),
3550
                 .cache_set_vld         (dva_vld_m[3:0]),
3551
                 .grst_l                (1'b1), // hard reset not to be used
3552
                 .rst_soft_l            (lsu_dtlb_invalid_all_l_m),
3553
                 .hold                  (sehold),
3554
                 .tlb_addr_mask_l       (lsu_dtlb_addr_mask_l_e),
3555
                 .tlb_bypass            (lsu_dtlb_bypass_e),
3556
                 .tlb_bypass_va         (exu_lsu_ldst_va_e[12:10]),
3557
                 .tlb_cam_pid           (lsu_dtlb_cam_pid_e[2:0]),
3558
                 //.tlb_cam_real          (lsu_dtlb_cam_real_e),
3559
                 .tlb_cam_vld           (tlb_ldst_cam_vld),
3560
                 .tlb_demap             (lsu_dtlb_dmp_vld_e),
3561
                 .tlb_demap_all         (lsu_dtlb_dmp_all_e),
3562
                 .tlb_demap_auto        (tlu_dtlb_dmp_actxt_g),
3563
                 //.tlb_demap_ctxt        (tlu_dtlb_dmp_by_ctxt_g),
3564
                 .tlb_demap_key         (tlu_idtlb_dmp_key_g[40:0]),
3565
                 .tlb_rd_data_vld       (lsu_dtlb_data_rd_e),
3566
                 .tlb_rd_tag_vld        (lsu_dtlb_tag_rd_e),
3567
                 .tlb_rw_index          (tlu_dtlb_rw_index_g[5:0]),
3568
                 .tlb_rw_index_vld      (lsu_dtlb_rwindex_vld_e),
3569
                 .tlb_wr_tte_data       (tlu_dtlb_tte_data_w2[42:0]),
3570
                 .tlb_wr_tte_tag        (tlu_dtlb_tte_tag_w2[58:0]),
3571
                 .tlb_wr_vld            (lsu_dtlb_wr_vld_e),
3572
                 .cache_ptag_w0   ({dtag_rdata_w0_m[28:0], lsu_ldst_va_m[10]}),
3573
                 .cache_ptag_w1   ({dtag_rdata_w1_m[28:0], lsu_ldst_va_m[10]}),
3574
                 .cache_ptag_w2   ({dtag_rdata_w2_m[28:0], lsu_ldst_va_m[10]}),
3575
                 .cache_ptag_w3   ({dtag_rdata_w3_m[28:0], lsu_ldst_va_m[10]}));
3576
*/
3577
 
3578
bw_r_tlb dtlb  (
3579
                .so                     (short_scan0_4),
3580
                .si                     (short_scan0_3),
3581
          .tlb_cam_key   ( {exu_lsu_ldst_va_e[47:28], 1'b1,
3582
                            exu_lsu_ldst_va_e[27:22], 1'b1,
3583
                                              exu_lsu_ldst_va_e[21:16], 1'b1,
3584
                            exu_lsu_ldst_va_e[15:13], 1'b1,
3585
                            //1'b1,
3586
                                              lsu_dtlb_cam_real_e,
3587
                                              lsu_dtlb_cam_real_e}
3588
                          ),
3589
 
3590
                 /*AUTOINST*/
3591
                // Outputs
3592
                .tlb_rd_tte_tag         (tlb_rd_tte_tag[58:0]),
3593
                .tlb_rd_tte_data        (tlb_rd_tte_data[42:0]),
3594
                .tlb_pgnum              (tlb_pgnum[39:10]),
3595
                .tlb_pgnum_crit         (tlb_pgnum_crit[39:10]),
3596
                .tlb_cam_hit            (tlb_cam_hit),
3597
                .cache_way_hit          (cache_way_hit[3:0]),
3598
                .cache_hit              (cache_hit),
3599
                // Inputs
3600
                .tlb_cam_vld            (tlb_ldst_cam_vld),      // Templated
3601
                .tlb_cam_pid            (lsu_dtlb_cam_pid_e[2:0]), // Templated
3602
                .tlb_demap_key          (tlu_idtlb_dmp_key_g[40:0]), // Templated
3603
                .tlb_addr_mask_l        (lsu_dtlb_addr_mask_l_e), // Templated
3604
                .tlb_ctxt               (tlb_ctxt[12:0]),
3605
                .tlb_wr_vld             (lsu_dtlb_wr_vld_e),     // Templated
3606
                .tlb_wr_tte_tag         (tlu_dtlb_tte_tag_w2[58:0]), // Templated
3607
                .tlb_wr_tte_data        (tlu_dtlb_tte_data_w2[42:0]), // Templated
3608
                .tlb_rd_tag_vld         (lsu_dtlb_tag_rd_e),     // Templated
3609
                .tlb_rd_data_vld        (lsu_dtlb_data_rd_e),    // Templated
3610
                .tlb_rw_index           (tlu_dtlb_rw_index_g[5:0]), // Templated
3611
                .tlb_rw_index_vld       (lsu_dtlb_rwindex_vld_e), // Templated
3612
                .tlb_demap              (lsu_dtlb_dmp_vld_e),    // Templated
3613
                .tlb_demap_auto         (tlu_dtlb_dmp_actxt_g),  // Templated
3614
                .tlb_demap_all          (lsu_dtlb_dmp_all_e),    // Templated
3615
                .cache_ptag_w0          ({dtag_rdata_w0_m[28:0], lsu_ldst_va_m[10]}), // Templated
3616
                .cache_ptag_w1          ({dtag_rdata_w1_m[28:0], lsu_ldst_va_m[10]}), // Templated
3617
                .cache_ptag_w2          ({dtag_rdata_w2_m[28:0], lsu_ldst_va_m[10]}), // Templated
3618
                .cache_ptag_w3          ({dtag_rdata_w3_m[28:0], lsu_ldst_va_m[10]}), // Templated
3619
                .cache_set_vld          (dva_vld_m[3:0]),        // Templated
3620
                .tlb_bypass_va          (exu_lsu_ldst_va_e[12:10]), // Templated
3621
                .tlb_bypass             (lsu_dtlb_bypass_e),     // Templated
3622
                .se                     (se),
3623
                .hold                   (sehold),                // Templated
3624
                .adj                    (lsu_dtlb_mrgn[7:0]),    // Templated
3625
                .arst_l                 (arst_l),
3626
                .rst_soft_l             (lsu_dtlb_invalid_all_l_m), // Templated
3627
                .rclk                   (clk),                   // Templated
3628
                .rst_tri_en             (mem_write_disable));     // Templated
3629
/*
3630
lsu_stb_rwctl  AUTO_TEMPLATE  (
3631
                         .rst_tri_en              (mux_drive_disable),
3632
                         .rclk             (clk),
3633
                         .stb_cam_hit      (stb_cam_hit_bf1),
3634
                                           .lsu_st_ack_rq_stb(4'b0000),
3635
                         .ffu_lsu_blk_st_tid_m (ffu_lsu_data[77:76]),
3636
                         .ld_inst_vld_e (ifu_lsu_ld_inst_e),
3637
                         .ldst_sz_e     (ifu_lsu_ldst_size_e[1:0]),
3638
                         .st_inst_vld_e (ifu_lsu_st_inst_e),
3639
                         .stb_rdata_ramc_b8t0 (stb_rdata_ramc[8:0]),
3640
                         .tlb_pgnum_b39_g(tlb_pgnum_buf[39]));
3641
*/
3642 113 albert.wat
`ifdef FPGA_SYN_1THREAD
3643 95 fafa1971
 
3644
lsu_stb_rwctl stb_rwctl (
3645
                         .so            (short_scan1_4),
3646
                         .si            (short_scan1_3),
3647
                         .stb_wdata_ramd_b75_b64(stb_wdata_ramd_b75_b64[75:64]),
3648
                                   .stb_ldst_byte_msk_min       (stb_ldst_byte_msk_min[7:0]),
3649
                         /*AUTOINST*/
3650
                         // Outputs
3651
                         .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
3652
                         .stb_cam_wr_no_ivld_m(stb_cam_wr_no_ivld_m),
3653
                         .ld_rawp_st_ced_w2(ld_rawp_st_ced_w2),
3654
                         .stb_data_wr_ptr(stb_data_wr_ptr[4:0]),
3655
                         .stb_data_wptr_vld(stb_data_wptr_vld),
3656
                         .stb_data_rd_ptr(stb_data_rd_ptr[4:0]),
3657
                         .stb_data_rptr_vld(stb_data_rptr_vld),
3658
                         .stb_cam_cm_tid(stb_cam_cm_tid[1:0]),
3659
                         .stb_ldst_byte_msk(stb_ldst_byte_msk[7:0]),
3660
                         .stb_cam_rw_ptr(stb_cam_rw_ptr[4:0]),
3661
                         .stb_cam_wptr_vld(stb_cam_wptr_vld),
3662
                         .stb_cam_rptr_vld(stb_cam_rptr_vld),
3663
                         .lsu_st_sz_bhww_m(lsu_st_sz_bhww_m),
3664
                         .lsu_st_sz_dw_m(lsu_st_sz_dw_m),
3665
                         .lsu_st_sz_bhw_m(lsu_st_sz_bhw_m),
3666
                         .lsu_st_sz_wdw_m(lsu_st_sz_wdw_m),
3667
                         .lsu_st_sz_b_m (lsu_st_sz_b_m),
3668
                         .lsu_st_sz_w_m (lsu_st_sz_w_m),
3669
                         .lsu_st_sz_hw_m(lsu_st_sz_hw_m),
3670
                         .lsu_st_sz_hww_m(lsu_st_sz_hww_m),
3671
                         .ld_rawp_st_ackid_w2(ld_rawp_st_ackid_w2[2:0]),
3672
                         .stb_flush_st_g(stb_flush_st_g[3:0]),
3673
                         .stb_cam_wvld_m(stb_cam_wvld_m[3:0]),
3674
                         .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
3675
                         .lsu_stb_data_early_sel_e(lsu_stb_data_early_sel_e[3:0]),
3676
                         .lsu_stb_data_final_sel_m(lsu_stb_data_final_sel_m),
3677
                         .lsu_ldquad_inst_m(lsu_ldquad_inst_m),
3678
                         .stb_thrd_en_g (stb_thrd_en_g[3:0]),
3679
                         .flsh_inst_m   (flsh_inst_m),
3680
                         .lsu_stb_va_m  (lsu_stb_va_m[9:3]),
3681
                         .lsu_stb_empty_buf(lsu_stb_empty_buf[3:0]),
3682
                         .lsu_spu_stb_empty(lsu_spu_stb_empty[3:0]),
3683
                         .ifu_tlu_inst_vld_m_bf1(ifu_tlu_inst_vld_m_bf1),
3684
                         .ifu_tlu_inst_vld_m_bf2(ifu_tlu_inst_vld_m_bf2),
3685
                         .lsu_ifu_stbcnt0(lsu_ifu_stbcnt0[3:0]),
3686
                         .lsu_ifu_stbcnt1(lsu_ifu_stbcnt1[3:0]),
3687
                         .lsu_ifu_stbcnt2(lsu_ifu_stbcnt2[3:0]),
3688
                         .lsu_ifu_stbcnt3(lsu_ifu_stbcnt3[3:0]),
3689
                         .lsu_ffu_stb_full0(lsu_ffu_stb_full0),
3690
                         .lsu_ffu_stb_full1(lsu_ffu_stb_full1),
3691
                         .lsu_ffu_stb_full2(lsu_ffu_stb_full2),
3692
                         .lsu_ffu_stb_full3(lsu_ffu_stb_full3),
3693
                         // Inputs
3694
                         .rclk          (clk),                   // Templated
3695
                         .rst_tri_en    (mux_drive_disable),     // Templated
3696
                         .se            (se),
3697
                         .ld_inst_vld_e (ifu_lsu_ld_inst_e),     // Templated
3698
                         .ldst_sz_e     (ifu_lsu_ldst_size_e[1:0]), // Templated
3699
                         .st_inst_vld_e (ifu_lsu_st_inst_e),     // Templated
3700
                         .stb_pcx_rptr0 (stb_pcx_rptr0[2:0]),
3701
                         .stb_wrptr0    (stb_wrptr0[2:0]),
3702
                         .stb_pcx_rptr1 (stb_pcx_rptr1[2:0]),
3703
                         .stb_wrptr1    (stb_wrptr1[2:0]),
3704
                         .stb_pcx_rptr2 (stb_pcx_rptr2[2:0]),
3705
                         .stb_wrptr2    (stb_wrptr2[2:0]),
3706
                         .stb_pcx_rptr3 (stb_pcx_rptr3[2:0]),
3707
                         .stb_wrptr3    (stb_wrptr3[2:0]),
3708
                         .stb_cam_hit_ptr(stb_cam_hit_ptr[2:0]),
3709
                         .stb_cam_hit   (stb_cam_hit_bf1),       // Templated
3710
                         .lsu_ldst_va_m (lsu_ldst_va_m[9:0]),
3711
                         .sta_internal_m(sta_internal_m),
3712
                         .ifu_tlu_thrid_e(ifu_tlu_thrid_e[1:0]),
3713
                         .tlu_exu_early_flush_pipe_w(tlu_exu_early_flush_pipe_w),
3714
                         .lsu_ttype_vld_m2(lsu_ttype_vld_m2),
3715
                         .ifu_lsu_flush_w(ifu_lsu_flush_w),
3716
                         .lsu_defr_trp_taken_g(lsu_defr_trp_taken_g),
3717
                         .ifu_lsu_casa_e(ifu_lsu_casa_e),
3718
                         .ifu_lsu_ldstub_e(ifu_lsu_ldstub_e),
3719
                         .ifu_lsu_swap_e(ifu_lsu_swap_e),
3720
                         .ifu_lsu_ldst_dbl_e(ifu_lsu_ldst_dbl_e),
3721
                         .stb_state_ced0(stb_state_ced0[7:0]),
3722
                         .stb_state_ced1(stb_state_ced1[7:0]),
3723
                         .stb_state_ced2(stb_state_ced2[7:0]),
3724
                         .stb_state_ced3(stb_state_ced3[7:0]),
3725
                         .stb_ld_full_raw(stb_ld_full_raw[7:0]),
3726
                         .stb_ld_partial_raw(stb_ld_partial_raw[7:0]),
3727
                         .stb_wrptr0_prev(stb_wrptr0_prev[2:0]),
3728
                         .stb_wrptr1_prev(stb_wrptr1_prev[2:0]),
3729
                         .stb_wrptr2_prev(stb_wrptr2_prev[2:0]),
3730
                         .stb_wrptr3_prev(stb_wrptr3_prev[2:0]),
3731
                         .ifu_lsu_alt_space_e(ifu_lsu_alt_space_e),
3732
                         .ifu_lsu_ldst_fp_e(ifu_lsu_ldst_fp_e),
3733
                         .lsu_quad_asi_e(lsu_quad_asi_e),
3734
                         .lsu_st_rmo_m  (lsu_st_rmo_m),
3735
                         .lsu_bst_in_pipe_m(lsu_bst_in_pipe_m),
3736
                         .ffu_lsu_kill_fst_w(ffu_lsu_kill_fst_w),
3737
                         .ffu_lsu_blk_st_e(ffu_lsu_blk_st_e),
3738
                         .ffu_lsu_blk_st_tid_m(ffu_lsu_data[77:76]), // Templated
3739
                         .ffu_lsu_blk_st_va_e(ffu_lsu_blk_st_va_e[5:3]),
3740
                         .lsu_snap_blk_st_m(lsu_snap_blk_st_m),
3741
                         .tlb_pgnum_b39_g(tlb_pgnum_buf[39]),    // Templated
3742 113 albert.wat
                         .lsu_stb_empty ({3'b000, lsu_stb_empty[0]}),
3743
                         .ifu_tlu_flsh_inst_e(ifu_tlu_flsh_inst_e),
3744
                         .stb_cam_mhit  (stb_cam_mhit),
3745
                         .ifu_tlu_inst_vld_m(ifu_tlu_inst_vld_m),
3746
                         .lsu_st_pcx_rq_pick(lsu_st_pcx_rq_pick[3:0]),
3747
                         .lsu_st_pcx_rq_vld(lsu_st_pcx_rq_vld),
3748
                         .stb_rdata_ramc_b8t0(stb_rdata_ramc[8:0]), // Templated
3749
                         .lsu_stbcnt0   (lsu_stbcnt0[3:0]),
3750
                         .lsu_stbcnt1   (lsu_stbcnt1[3:0]),
3751
                         .lsu_stbcnt2   (lsu_stbcnt2[3:0]),
3752
                         .lsu_stbcnt3   (lsu_stbcnt3[3:0]));
3753
`else
3754
 
3755
lsu_stb_rwctl stb_rwctl (
3756
                         .so            (short_scan1_4),
3757
                         .si            (short_scan1_3),
3758
                         .stb_wdata_ramd_b75_b64(stb_wdata_ramd_b75_b64[75:64]),
3759
                                   .stb_ldst_byte_msk_min       (stb_ldst_byte_msk_min[7:0]),
3760
                         /*AUTOINST*/
3761
                         // Outputs
3762
                         .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
3763
                         .stb_cam_wr_no_ivld_m(stb_cam_wr_no_ivld_m),
3764
                         .ld_rawp_st_ced_w2(ld_rawp_st_ced_w2),
3765
                         .stb_data_wr_ptr(stb_data_wr_ptr[4:0]),
3766
                         .stb_data_wptr_vld(stb_data_wptr_vld),
3767
                         .stb_data_rd_ptr(stb_data_rd_ptr[4:0]),
3768
                         .stb_data_rptr_vld(stb_data_rptr_vld),
3769
                         .stb_cam_cm_tid(stb_cam_cm_tid[1:0]),
3770
                         .stb_ldst_byte_msk(stb_ldst_byte_msk[7:0]),
3771
                         .stb_cam_rw_ptr(stb_cam_rw_ptr[4:0]),
3772
                         .stb_cam_wptr_vld(stb_cam_wptr_vld),
3773
                         .stb_cam_rptr_vld(stb_cam_rptr_vld),
3774
                         .lsu_st_sz_bhww_m(lsu_st_sz_bhww_m),
3775
                         .lsu_st_sz_dw_m(lsu_st_sz_dw_m),
3776
                         .lsu_st_sz_bhw_m(lsu_st_sz_bhw_m),
3777
                         .lsu_st_sz_wdw_m(lsu_st_sz_wdw_m),
3778
                         .lsu_st_sz_b_m (lsu_st_sz_b_m),
3779
                         .lsu_st_sz_w_m (lsu_st_sz_w_m),
3780
                         .lsu_st_sz_hw_m(lsu_st_sz_hw_m),
3781
                         .lsu_st_sz_hww_m(lsu_st_sz_hww_m),
3782
                         .ld_rawp_st_ackid_w2(ld_rawp_st_ackid_w2[2:0]),
3783
                         .stb_flush_st_g(stb_flush_st_g[3:0]),
3784
                         .stb_cam_wvld_m(stb_cam_wvld_m[3:0]),
3785
                         .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
3786
                         .lsu_stb_data_early_sel_e(lsu_stb_data_early_sel_e[3:0]),
3787
                         .lsu_stb_data_final_sel_m(lsu_stb_data_final_sel_m),
3788
                         .lsu_ldquad_inst_m(lsu_ldquad_inst_m),
3789
                         .stb_thrd_en_g (stb_thrd_en_g[3:0]),
3790
                         .flsh_inst_m   (flsh_inst_m),
3791
                         .lsu_stb_va_m  (lsu_stb_va_m[9:3]),
3792
                         .lsu_stb_empty_buf(lsu_stb_empty_buf[3:0]),
3793
                         .lsu_spu_stb_empty(lsu_spu_stb_empty[3:0]),
3794
                         .ifu_tlu_inst_vld_m_bf1(ifu_tlu_inst_vld_m_bf1),
3795
                         .ifu_tlu_inst_vld_m_bf2(ifu_tlu_inst_vld_m_bf2),
3796
                         .lsu_ifu_stbcnt0(lsu_ifu_stbcnt0[3:0]),
3797
                         .lsu_ifu_stbcnt1(lsu_ifu_stbcnt1[3:0]),
3798
                         .lsu_ifu_stbcnt2(lsu_ifu_stbcnt2[3:0]),
3799
                         .lsu_ifu_stbcnt3(lsu_ifu_stbcnt3[3:0]),
3800
                         .lsu_ffu_stb_full0(lsu_ffu_stb_full0),
3801
                         .lsu_ffu_stb_full1(lsu_ffu_stb_full1),
3802
                         .lsu_ffu_stb_full2(lsu_ffu_stb_full2),
3803
                         .lsu_ffu_stb_full3(lsu_ffu_stb_full3),
3804
                         // Inputs
3805
                         .rclk          (clk),                   // Templated
3806
                         .rst_tri_en    (mux_drive_disable),     // Templated
3807
                         .se            (se),
3808
                         .ld_inst_vld_e (ifu_lsu_ld_inst_e),     // Templated
3809
                         .ldst_sz_e     (ifu_lsu_ldst_size_e[1:0]), // Templated
3810
                         .st_inst_vld_e (ifu_lsu_st_inst_e),     // Templated
3811
                         .stb_pcx_rptr0 (stb_pcx_rptr0[2:0]),
3812
                         .stb_wrptr0    (stb_wrptr0[2:0]),
3813
                         .stb_pcx_rptr1 (stb_pcx_rptr1[2:0]),
3814
                         .stb_wrptr1    (stb_wrptr1[2:0]),
3815
                         .stb_pcx_rptr2 (stb_pcx_rptr2[2:0]),
3816
                         .stb_wrptr2    (stb_wrptr2[2:0]),
3817
                         .stb_pcx_rptr3 (stb_pcx_rptr3[2:0]),
3818
                         .stb_wrptr3    (stb_wrptr3[2:0]),
3819
                         .stb_cam_hit_ptr(stb_cam_hit_ptr[2:0]),
3820
                         .stb_cam_hit   (stb_cam_hit_bf1),       // Templated
3821
                         .lsu_ldst_va_m (lsu_ldst_va_m[9:0]),
3822
                         .sta_internal_m(sta_internal_m),
3823
                         .ifu_tlu_thrid_e(ifu_tlu_thrid_e[1:0]),
3824
                         .tlu_exu_early_flush_pipe_w(tlu_exu_early_flush_pipe_w),
3825
                         .lsu_ttype_vld_m2(lsu_ttype_vld_m2),
3826
                         .ifu_lsu_flush_w(ifu_lsu_flush_w),
3827
                         .lsu_defr_trp_taken_g(lsu_defr_trp_taken_g),
3828
                         .ifu_lsu_casa_e(ifu_lsu_casa_e),
3829
                         .ifu_lsu_ldstub_e(ifu_lsu_ldstub_e),
3830
                         .ifu_lsu_swap_e(ifu_lsu_swap_e),
3831
                         .ifu_lsu_ldst_dbl_e(ifu_lsu_ldst_dbl_e),
3832
                         .stb_state_ced0(stb_state_ced0[7:0]),
3833
                         .stb_state_ced1(stb_state_ced1[7:0]),
3834
                         .stb_state_ced2(stb_state_ced2[7:0]),
3835
                         .stb_state_ced3(stb_state_ced3[7:0]),
3836
                         .stb_ld_full_raw(stb_ld_full_raw[7:0]),
3837
                         .stb_ld_partial_raw(stb_ld_partial_raw[7:0]),
3838
                         .stb_wrptr0_prev(stb_wrptr0_prev[2:0]),
3839
                         .stb_wrptr1_prev(stb_wrptr1_prev[2:0]),
3840
                         .stb_wrptr2_prev(stb_wrptr2_prev[2:0]),
3841
                         .stb_wrptr3_prev(stb_wrptr3_prev[2:0]),
3842
                         .ifu_lsu_alt_space_e(ifu_lsu_alt_space_e),
3843
                         .ifu_lsu_ldst_fp_e(ifu_lsu_ldst_fp_e),
3844
                         .lsu_quad_asi_e(lsu_quad_asi_e),
3845
                         .lsu_st_rmo_m  (lsu_st_rmo_m),
3846
                         .lsu_bst_in_pipe_m(lsu_bst_in_pipe_m),
3847
                         .ffu_lsu_kill_fst_w(ffu_lsu_kill_fst_w),
3848
                         .ffu_lsu_blk_st_e(ffu_lsu_blk_st_e),
3849
                         .ffu_lsu_blk_st_tid_m(ffu_lsu_data[77:76]), // Templated
3850
                         .ffu_lsu_blk_st_va_e(ffu_lsu_blk_st_va_e[5:3]),
3851
                         .lsu_snap_blk_st_m(lsu_snap_blk_st_m),
3852
                         .tlb_pgnum_b39_g(tlb_pgnum_buf[39]),    // Templated
3853 95 fafa1971
                         .lsu_stb_empty (lsu_stb_empty[3:0]),
3854
                         .ifu_tlu_flsh_inst_e(ifu_tlu_flsh_inst_e),
3855
                         .stb_cam_mhit  (stb_cam_mhit),
3856
                         .ifu_tlu_inst_vld_m(ifu_tlu_inst_vld_m),
3857
                         .lsu_st_pcx_rq_pick(lsu_st_pcx_rq_pick[3:0]),
3858
                         .lsu_st_pcx_rq_vld(lsu_st_pcx_rq_vld),
3859
                         .stb_rdata_ramc_b8t0(stb_rdata_ramc[8:0]), // Templated
3860
                         .lsu_stbcnt0   (lsu_stbcnt0[3:0]),
3861
                         .lsu_stbcnt1   (lsu_stbcnt1[3:0]),
3862
                         .lsu_stbcnt2   (lsu_stbcnt2[3:0]),
3863
                         .lsu_stbcnt3   (lsu_stbcnt3[3:0]));
3864 113 albert.wat
`endif // !`ifdef FPGA_SYN_1THREAD
3865 95 fafa1971
 
3866
/*
3867
lsu_stb_rwdp AUTO_TEMPLATE (
3868
      .rst_tri_en           (mux_drive_disable),
3869
      .stb_rdata_ramd_b74     (stb_rdata_ramd[74]),
3870
                        .rclk   (clk));
3871
*/
3872
 
3873
lsu_stb_rwdp  stb_rwdp  (
3874
                         .so            (so0),
3875
                         .si            (scan0_2),
3876
                         .lsu_stb_st_data_g(lsu_stb_st_data_g[63:0]),
3877
                         /*AUTOINST*/
3878
                         // Outputs
3879
                         .stb_rdata_ramd_buf(stb_rdata_ramd_buf[69:0]),
3880
                         .stb_rdata_ramd_b74_buf(stb_rdata_ramd_b74_buf),
3881
                         // Inputs
3882
                         .rclk          (clk),                   // Templated
3883
                         .se            (se),
3884
                         .rst_tri_en    (mux_drive_disable),     // Templated
3885
                         .exu_lsu_rs3_data_e(exu_lsu_rs3_data_e[63:0]),
3886
                         .lsu_stb_data_early_sel_e(lsu_stb_data_early_sel_e[3:0]),
3887
                         .lsu_stb_data_final_sel_m(lsu_stb_data_final_sel_m),
3888
                         .exu_lsu_rs2_data_e(exu_lsu_rs2_data_e[63:0]),
3889
                         .lsu_st_sz_bhww_m(lsu_st_sz_bhww_m),
3890
                         .lsu_st_sz_dw_m(lsu_st_sz_dw_m),
3891
                         .lsu_st_sz_bhw_m(lsu_st_sz_bhw_m),
3892
                         .lsu_st_sz_wdw_m(lsu_st_sz_wdw_m),
3893
                         .lsu_st_sz_b_m (lsu_st_sz_b_m),
3894
                         .lsu_st_sz_w_m (lsu_st_sz_w_m),
3895
                         .lsu_st_sz_hw_m(lsu_st_sz_hw_m),
3896
                         .lsu_st_sz_hww_m(lsu_st_sz_hww_m),
3897
                         .ffu_lsu_data  (ffu_lsu_data[63:0]),
3898
                         .lsu_st_hw_le_g(lsu_st_hw_le_g),
3899
                         .lsu_st_w_or_dbl_le_g(lsu_st_w_or_dbl_le_g),
3900
                         .lsu_st_x_le_g (lsu_st_x_le_g),
3901
                         .lsu_swap_sel_default_g(lsu_swap_sel_default_g),
3902
                         .lsu_swap_sel_default_byte_7_2_g(lsu_swap_sel_default_byte_7_2_g),
3903
                         .stb_rdata_ramd(stb_rdata_ramd[69:0]),
3904
                         .stb_rdata_ramd_b74(stb_rdata_ramd[74])); // Templated
3905
/*
3906
bw_r_scm  AUTO_TEMPLATE (
3907
                         .rst_tri_en           (mem_write_disable),
3908
                         .rclk            (clk),
3909
                         .stb_quad_ld_cam (lsu_ldquad_inst_m),
3910
                         .stb_alt_wr_data (lsu_blkst_pgnum_m[39:10]),
3911
                         .stb_alt_wsel (lsu_blk_st_m),
3912
                         .stb_cam_data  (tlb_pgnum_crit[39:10]),
3913
                         .stb_cam_rw_tid(stb_cam_rw_ptr[4:3]));
3914
*/
3915
 
3916
bw_r_scm   stb_cam   (
3917
          .stb_camwr_data ({lsu_stb_va_m[9:3],stb_ldst_byte_msk_min[7:0]}),
3918
          /*AUTOINST*/
3919
                      // Outputs
3920
                      .stb_rdata_ramc   (stb_rdata_ramc[44:0]),
3921
                      .stb_ld_full_raw  (stb_ld_full_raw[7:0]),
3922
                      .stb_ld_partial_raw(stb_ld_partial_raw[7:0]),
3923
                      .stb_cam_hit_ptr  (stb_cam_hit_ptr[2:0]),
3924
                      .stb_cam_hit      (stb_cam_hit),
3925
                      .stb_cam_mhit     (stb_cam_mhit),
3926
                      // Inputs
3927
                      .stb_cam_data     (tlb_pgnum_crit[39:10]), // Templated
3928
                      .stb_alt_wr_data  (lsu_blkst_pgnum_m[39:10]), // Templated
3929
                      .stb_alt_wsel     (lsu_blk_st_m),          // Templated
3930
                      .stb_cam_vld      (stb_cam_vld),
3931
                      .stb_cam_cm_tid   (stb_cam_cm_tid[1:0]),
3932
                      .stb_cam_sqsh_msk (stb_cam_sqsh_msk[7:0]),
3933
                      .stb_cam_rw_ptr   (stb_cam_rw_ptr[2:0]),
3934
                      .stb_cam_wptr_vld (stb_cam_wptr_vld),
3935
                      .stb_cam_rptr_vld (stb_cam_rptr_vld),
3936
                      .stb_cam_rw_tid   (stb_cam_rw_ptr[4:3]),   // Templated
3937
                      .stb_quad_ld_cam  (lsu_ldquad_inst_m),     // Templated
3938
                      .rclk             (clk),                   // Templated
3939
                      .rst_tri_en       (mem_write_disable));     // Templated
3940
/*bw_r_rf32x80 AUTO_TEMPLATE (
3941
                         .rst_tri_en           (mem_write_disable),
3942
                         .dout   (stb_rdata_ramd[79:0]),
3943
                         .wr_adr (stb_data_wr_ptr[4:0]),
3944
                         .wr_en  (stb_data_wptr_vld),
3945
                         .nib_wr_en ({20{1'b1}}),
3946
                         .rd_adr (stb_data_rd_ptr[4:0]),
3947
                         .rd_en  (stb_data_rptr_vld),
3948
                         //.sehold (),
3949
                         .reset_l(arst_l),
3950
                         .rclk    (clk));
3951
 
3952
*/
3953
bw_r_rf32x80 stb_data(
3954
                      .din ({4'b0, stb_wdata_ramd_b75_b64[75:64], lsu_stb_st_data_g[63:0]}),
3955
                      .so               (short_scan0_5),
3956
                      .si               (short_scan0_4),
3957
                      /*AUTOINST*/
3958
                      // Outputs
3959
                      .dout             (stb_rdata_ramd[79:0]),  // Templated
3960
                      // Inputs
3961
                      .rd_adr           (stb_data_rd_ptr[4:0]),  // Templated
3962
                      .rd_en            (stb_data_rptr_vld),     // Templated
3963
                      .wr_en            (stb_data_wptr_vld),     // Templated
3964
                      .nib_wr_en        ({20{1'b1}}),            // Templated
3965
                      .wr_adr           (stb_data_wr_ptr[4:0]),  // Templated
3966
                      .rclk             (clk),                   // Templated
3967
                      .reset_l          (arst_l),                // Templated
3968
                      .rst_tri_en       (mem_write_disable),     // Templated
3969
                      .sehold           (sehold),
3970
                      .se               (se));
3971
/*lsu_stb_ctl AUTO_TEMPLATE (
3972
         .rclk                  (clk),
3973
         .st_dtlb_perr_g        (lsu_st_dtlb_perr_g[@]),
3974
         .lsu_outstanding_rmo_st_max (lsu_outstanding_rmo_st_max[@]),
3975
               .st_pcx_rq_kill_w2    (lsu_st_pcx_rq_kill_w2[@]),
3976
         .flshinst_rst         (lsu_dfq_flsh_cmplt[@]),
3977
         .stb_rmo_st_issue     (lsu_stb_rmo_st_issue[@]),
3978
         .lsu_stb_empty        (lsu_stb_empty[@]),
3979
         .stb_l2bnk_addr       (stb@_l2b_addr[2:0]),
3980
         .stb_atm_rq_type      (stb@_atm_rq_type[2:1]),
3981
         .stb_wrptr            (stb_wrptr@[2:0]),
3982
         .stb_wrptr_prev       (stb_wrptr@_prev[2:0]),
3983
         .stb_state_ced_mod    (stb_state_ced@[7:0]),
3984
         .stb_state_vld_out    (stb_state_vld@[7:0]),
3985
         .stb_rd_for_pcx       (stb_rd_for_pcx[@]),
3986
         .stb_dfq_rptr         (stb_dfq_rptr@[2:0]),
3987
         .stb_pcx_rptr         (stb_pcx_rptr@[2:0]),
3988
         .thrd_en_g            (stb_thrd_en_g[@]),
3989
         .pcx_rq_for_stb       (pcx_rq_for_stb[@]),
3990
         .stb_crnt_ack_id      (stb@_crnt_ack_id[2:0]),
3991
         .lsu_stbcnt           (lsu_stbcnt@[3:0]),
3992
         .pcx_req_squash       (lsu_pcx_req_squash@),
3993
         .cpx_st_ack_tid       (cpx_st_ack_tid@),
3994
         .st_ack_dq_stb        (lsu_st_ack_dq_stb[@]),
3995
         .stb_cam_wvld_m       (stb_cam_wvld_m[@]),
3996
         .stb_flush_st_g       (stb_flush_st_g[@]),
3997
         .cpx_st_ack_tid       (cpx_st_ack_tid@),
3998
               .stb_full                   (lsu_tlu_stb_full_w2[@]),
3999
         .tlb_pgnum_g          (tlb_pgnum_buf2[39:37]), // timing fix
4000
         .stb_alt_sel          (lsu_blk_st_m),
4001
         .stb_alt_addr         (lsu_blkst_pgnum_m[39:37]),
4002
         .stb_clk_en_l         (stb@_clk_en_l[7:0]),
4003
         .stb_non_l2bnk        (stb@_non_l2bnk),
4004
         .stb_state_si_0       (stb@_state_si_0[3:2]),
4005
         .stb_state_si_1       (stb@_state_si_1[3:2]),
4006
         .stb_state_si_2       (stb@_state_si_2[3:2]),
4007
         .stb_state_si_3       (stb@_state_si_3[3:2]),
4008
         .stb_state_si_4       (stb@_state_si_4[3:2]),
4009
         .stb_state_si_5       (stb@_state_si_5[3:2]),
4010
         .stb_state_si_6       (stb@_state_si_6[3:2]),
4011
         .stb_state_si_7       (stb@_state_si_7[3:2]),
4012
         .stb_state_rtype_0    (stb@_state_rtype_0[2:1]),
4013
         .stb_state_rtype_1    (stb@_state_rtype_1[2:1]),
4014
         .stb_state_rtype_2    (stb@_state_rtype_2[2:1]),
4015
         .stb_state_rtype_3    (stb@_state_rtype_3[2:1]),
4016
         .stb_state_rtype_4    (stb@_state_rtype_4[2:1]),
4017
         .stb_state_rtype_5    (stb@_state_rtype_5[2:1]),
4018
         .stb_state_rtype_6    (stb@_state_rtype_6[2:1]),
4019
         .stb_state_rtype_7    (stb@_state_rtype_7[2:1]),
4020
         .stb_state_io         (stb@_state_io[7:0]),
4021
         .stb_state_rmo        (stb@_state_rmo[7:0]));
4022
*/
4023
 
4024
/*lsu_stb_ctldp AUTO_TEMPLATE (
4025
         .rclk                 (clk),
4026
         .stb_clk_en_l         (stb@_clk_en_l[7:0]),
4027
         .stb_non_l2bnk        (stb@_non_l2bnk),
4028
         .stb_state_si_0       (stb@_state_si_0[3:2]),
4029
         .stb_state_si_1       (stb@_state_si_1[3:2]),
4030
         .stb_state_si_2       (stb@_state_si_2[3:2]),
4031
         .stb_state_si_3       (stb@_state_si_3[3:2]),
4032
         .stb_state_si_4       (stb@_state_si_4[3:2]),
4033
         .stb_state_si_5       (stb@_state_si_5[3:2]),
4034
         .stb_state_si_6       (stb@_state_si_6[3:2]),
4035
         .stb_state_si_7       (stb@_state_si_7[3:2]),
4036
         .stb_state_rtype_0    (stb@_state_rtype_0[2:1]),
4037
         .stb_state_rtype_1    (stb@_state_rtype_1[2:1]),
4038
         .stb_state_rtype_2    (stb@_state_rtype_2[2:1]),
4039
         .stb_state_rtype_3    (stb@_state_rtype_3[2:1]),
4040
         .stb_state_rtype_4    (stb@_state_rtype_4[2:1]),
4041
         .stb_state_rtype_5    (stb@_state_rtype_5[2:1]),
4042
         .stb_state_rtype_6    (stb@_state_rtype_6[2:1]),
4043
         .stb_state_rtype_7    (stb@_state_rtype_7[2:1]),
4044
         .stb_state_io         (stb@_state_io[7:0]),
4045
         .stb_state_rmo        (stb@_state_rmo[7:0]));
4046
*/
4047
 
4048
lsu_stb_ctl stb_ctl0  (
4049
                       .so              (short_scan0_6),
4050
                       .si              (short_scan0_5),
4051
                       /*AUTOINST*/
4052
                       // Outputs
4053
                       .stb_clk_en_l    (stb0_clk_en_l[7:0]),    // Templated
4054
                       .stb_crnt_ack_id (stb0_crnt_ack_id[2:0]), // Templated
4055
                       .lsu_stb_empty   (lsu_stb_empty[0]),      // Templated
4056
                       .stb_l2bnk_addr  (stb0_l2b_addr[2:0]),    // Templated
4057
                       .stb_atm_rq_type (stb0_atm_rq_type[2:1]), // Templated
4058
                       .stb_wrptr       (stb_wrptr0[2:0]),       // Templated
4059
                       .stb_rd_for_pcx  (stb_rd_for_pcx[0]),     // Templated
4060
                       .stb_pcx_rptr    (stb_pcx_rptr0[2:0]),    // Templated
4061
                       .stb_wrptr_prev  (stb_wrptr0_prev[2:0]),  // Templated
4062
                       .stb_state_ced_mod(stb_state_ced0[7:0]),  // Templated
4063
                       .stb_state_vld_out(stb_state_vld0[7:0]),  // Templated
4064
                       .lsu_stbcnt      (lsu_stbcnt0[3:0]),      // Templated
4065
                       .stb_rmo_st_issue(lsu_stb_rmo_st_issue[0]), // Templated
4066
                       .stb_full        (lsu_tlu_stb_full_w2_t[0]), // Templated
4067
                       .st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[0]), // Templated
4068
                       // Inputs
4069
                       .rclk            (clk),                   // Templated
4070
                       .grst_l          (grst_l),
4071
                       .arst_l          (arst_l),
4072
                       .se              (se),
4073
                       .thrd_en_g       (stb_thrd_en_g[0]),      // Templated
4074
                       .cpx_st_ack_tid  (cpx_st_ack_tid0),       // Templated
4075
                       .pcx_rq_for_stb  (pcx_rq_for_stb[0]),     // Templated
4076
                       .st_ack_dq_stb   (lsu_st_ack_dq_stb[0]),  // Templated
4077
                       .stb_flush_st_g  (stb_flush_st_g[0]),     // Templated
4078
                       .stb_cam_wvld_m  (stb_cam_wvld_m[0]),     // Templated
4079
                       .lsu_blk_st_m    (lsu_blk_st_m),
4080
                       .tlb_pgnum_g     (tlb_pgnum_buf2[39:37]), // Templated
4081
                       .pcx_req_squash  (lsu_pcx_req_squash0),   // Templated
4082
                       .flshinst_rst    (lsu_dfq_flsh_cmplt[0]), // Templated
4083
                       .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
4084
                       .flsh_inst_m     (flsh_inst_m),
4085
                       .stb_state_si_0  (stb0_state_si_0[3:2]),  // Templated
4086
                       .stb_state_si_1  (stb0_state_si_1[3:2]),  // Templated
4087
                       .stb_state_si_2  (stb0_state_si_2[3:2]),  // Templated
4088
                       .stb_state_si_3  (stb0_state_si_3[3:2]),  // Templated
4089
                       .stb_state_si_4  (stb0_state_si_4[3:2]),  // Templated
4090
                       .stb_state_si_5  (stb0_state_si_5[3:2]),  // Templated
4091
                       .stb_state_si_6  (stb0_state_si_6[3:2]),  // Templated
4092
                       .stb_state_si_7  (stb0_state_si_7[3:2]),  // Templated
4093
                       .stb_state_rtype_0(stb0_state_rtype_0[2:1]), // Templated
4094
                       .stb_state_rtype_1(stb0_state_rtype_1[2:1]), // Templated
4095
                       .stb_state_rtype_2(stb0_state_rtype_2[2:1]), // Templated
4096
                       .stb_state_rtype_3(stb0_state_rtype_3[2:1]), // Templated
4097
                       .stb_state_rtype_4(stb0_state_rtype_4[2:1]), // Templated
4098
                       .stb_state_rtype_5(stb0_state_rtype_5[2:1]), // Templated
4099
                       .stb_state_rtype_6(stb0_state_rtype_6[2:1]), // Templated
4100
                       .stb_state_rtype_7(stb0_state_rtype_7[2:1]), // Templated
4101
                       .stb_state_rmo   (stb0_state_rmo[7:0]),   // Templated
4102
                       .stb_alt_sel     (lsu_blk_st_m),          // Templated
4103
                       .stb_alt_addr    (lsu_blkst_pgnum_m[39:37]), // Templated
4104
                       .lsu_dtlb_bypass_e(lsu_dtlb_bypass_e),
4105
                       .tlb_cam_hit     (tlb_cam_hit),
4106
                       .st_dtlb_perr_g  (lsu_st_dtlb_perr_g[0]), // Templated
4107
                       .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[0])); // Templated
4108
lsu_stb_ctldp stb_ctldp0  (
4109
                           .so          (short_scan1_5),
4110
                           .si          (short_scan1_4),
4111
                           /*AUTOINST*/
4112
                           // Outputs
4113
                           .stb_state_si_0(stb0_state_si_0[3:2]), // Templated
4114
                           .stb_state_si_1(stb0_state_si_1[3:2]), // Templated
4115
                           .stb_state_si_2(stb0_state_si_2[3:2]), // Templated
4116
                           .stb_state_si_3(stb0_state_si_3[3:2]), // Templated
4117
                           .stb_state_si_4(stb0_state_si_4[3:2]), // Templated
4118
                           .stb_state_si_5(stb0_state_si_5[3:2]), // Templated
4119
                           .stb_state_si_6(stb0_state_si_6[3:2]), // Templated
4120
                           .stb_state_si_7(stb0_state_si_7[3:2]), // Templated
4121
                           .stb_state_rtype_0(stb0_state_rtype_0[2:1]), // Templated
4122
                           .stb_state_rtype_1(stb0_state_rtype_1[2:1]), // Templated
4123
                           .stb_state_rtype_2(stb0_state_rtype_2[2:1]), // Templated
4124
                           .stb_state_rtype_3(stb0_state_rtype_3[2:1]), // Templated
4125
                           .stb_state_rtype_4(stb0_state_rtype_4[2:1]), // Templated
4126
                           .stb_state_rtype_5(stb0_state_rtype_5[2:1]), // Templated
4127
                           .stb_state_rtype_6(stb0_state_rtype_6[2:1]), // Templated
4128
                           .stb_state_rtype_7(stb0_state_rtype_7[2:1]), // Templated
4129
                           .stb_state_rmo(stb0_state_rmo[7:0]),  // Templated
4130
                           // Inputs
4131
                           .rclk        (clk),                   // Templated
4132
                           .se          (se),
4133
                           .stb_clk_en_l(stb0_clk_en_l[7:0]),    // Templated
4134
                           .lsu_stb_va_m(lsu_stb_va_m[7:6]),
4135
                           .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
4136
                           .lsu_st_rmo_m(lsu_st_rmo_m));
4137
 
4138 113 albert.wat
`ifdef FPGA_SYN_1THREAD
4139
 
4140
 
4141
lsu_stb_ctl stb_ctl1  (
4142
                       .so              (short_scan1_6),
4143
                       .si              (short_scan1_5),
4144
                       /*AUTOINST*/
4145
                       // Outputs
4146
                       .stb_clk_en_l    (stb1_clk_en_l[7:0]),    // Templated
4147
                       .stb_crnt_ack_id (), // Templated
4148
                       .lsu_stb_empty   (),      // Templated
4149
                       .stb_l2bnk_addr  (),    // Templated
4150
                       .stb_atm_rq_type (), // Templated
4151
                       .stb_wrptr       (),       // Templated
4152
                       .stb_rd_for_pcx  (),     // Templated
4153
                       .stb_pcx_rptr    (),    // Templated
4154
                       .stb_wrptr_prev  (),  // Templated
4155
                       .stb_state_ced_mod(),  // Templated
4156
                       .stb_state_vld_out(),  // Templated
4157
                       .lsu_stbcnt      (),      // Templated
4158
                       .stb_rmo_st_issue(), // Templated
4159
                       .stb_full        (), // Templated
4160
                       .st_pcx_rq_kill_w2(), // Templated
4161
                       // Inputs
4162
                       .rclk            (clk),                   // Templated
4163
                       .grst_l          (grst_l),
4164
                       .arst_l          (arst_l),
4165
                       .se              (se),
4166
                       .thrd_en_g       (1'b0),      // Templated
4167
                       .cpx_st_ack_tid  (1'b0),       // Templated
4168
                       .pcx_rq_for_stb  (1'b0),     // Templated
4169
                       .st_ack_dq_stb   (1'b0),  // Templated
4170
                       .stb_flush_st_g  (1'b0),     // Templated
4171
                       .stb_cam_wvld_m  (1'b0),     // Templated
4172
                       .lsu_blk_st_m    (1'b0),
4173
                       .tlb_pgnum_g     (3'b000), // Templated
4174
                       .pcx_req_squash  (1'b0),   // Templated
4175
                       .flshinst_rst    (1'b0), // Templated
4176
                       .lsu_stbctl_flush_pipe_w(1'b0),
4177
                       .flsh_inst_m     (1'b0),
4178
                       .stb_state_si_0  (2'b00),  // Templated
4179
                       .stb_state_si_1  (2'b00),  // Templated
4180
                       .stb_state_si_2  (2'b00),  // Templated
4181
                       .stb_state_si_3  (2'b00),  // Templated
4182
                       .stb_state_si_4  (2'b00),  // Templated
4183
                       .stb_state_si_5  (2'b00),  // Templated
4184
                       .stb_state_si_6  (2'b00),  // Templated
4185
                       .stb_state_si_7  (2'b00),  // Templated
4186
                       .stb_state_rtype_0(2'b00), // Templated
4187
                       .stb_state_rtype_1(2'b00), // Templated
4188
                       .stb_state_rtype_2(2'b00), // Templated
4189
                       .stb_state_rtype_3(2'b00), // Templated
4190
                       .stb_state_rtype_4(2'b00), // Templated
4191
                       .stb_state_rtype_5(2'b00), // Templated
4192
                       .stb_state_rtype_6(2'b00), // Templated
4193
                       .stb_state_rtype_7(2'b00), // Templated
4194
                       .stb_state_rmo   (8'h00),   // Templated
4195
                       .stb_alt_sel     (1'b0),          // Templated
4196
                       .stb_alt_addr    (3'b000), // Templated
4197
                       .lsu_dtlb_bypass_e(1'b0),
4198
                       .tlb_cam_hit     (1'b0),
4199
                       .st_dtlb_perr_g  (1'b0), // Templated
4200
                       .lsu_outstanding_rmo_st_max(1'b0)); // Templated
4201
lsu_stb_ctldp stb_ctldp1  (
4202
                           .so          (short_scan0_7),
4203
                           .si          (short_scan0_6),
4204
                           /*AUTOINST*/
4205
                           // Outputs
4206
                           .stb_state_si_0(), // Templated
4207
                           .stb_state_si_1(), // Templated
4208
                           .stb_state_si_2(), // Templated
4209
                           .stb_state_si_3(), // Templated
4210
                           .stb_state_si_4(), // Templated
4211
                           .stb_state_si_5(), // Templated
4212
                           .stb_state_si_6(), // Templated
4213
                           .stb_state_si_7(), // Templated
4214
                           .stb_state_rtype_0(), // Templated
4215
                           .stb_state_rtype_1(), // Templated
4216
                           .stb_state_rtype_2(), // Templated
4217
                           .stb_state_rtype_3(), // Templated
4218
                           .stb_state_rtype_4(), // Templated
4219
                           .stb_state_rtype_5(), // Templated
4220
                           .stb_state_rtype_6(), // Templated
4221
                           .stb_state_rtype_7(), // Templated
4222
                           .stb_state_rmo(),  // Templated
4223
                           // Inputs
4224
                           .rclk        (clk),                   // Templated
4225
                           .se          (se),
4226
                           .stb_clk_en_l(stb1_clk_en_l[7:0]),    // Templated
4227
                           .lsu_stb_va_m(2'b00),
4228
                           .lsu_st_rq_type_m(2'b00),
4229
                           .lsu_st_rmo_m(1'b0));
4230 95 fafa1971
 
4231 113 albert.wat
   lsu_stb_ctl stb_ctl2  (
4232
                       .so              (short_scan1_7),
4233
                       .si              (short_scan1_6),
4234
                       /*AUTOINST*/
4235
                       // Outputs
4236
                       .stb_clk_en_l    (stb2_clk_en_l[7:0]),    // Templated
4237
                       .stb_crnt_ack_id (), // Templated
4238
                       .lsu_stb_empty   (),      // Templated
4239
                       .stb_l2bnk_addr  (),    // Templated
4240
                       .stb_atm_rq_type (), // Templated
4241
                       .stb_wrptr       (),       // Templated
4242
                       .stb_rd_for_pcx  (),     // Templated
4243
                       .stb_pcx_rptr    (),    // Templated
4244
                       .stb_wrptr_prev  (),  // Templated
4245
                       .stb_state_ced_mod(),  // Templated
4246
                       .stb_state_vld_out(),  // Templated
4247
                       .lsu_stbcnt      (),      // Templated
4248
                       .stb_rmo_st_issue(), // Templated
4249
                       .stb_full        (), // Templated
4250
                       .st_pcx_rq_kill_w2(), // Templated
4251
                       // Inputs
4252
                       .rclk            (clk),                   // Templated
4253
                       .grst_l          (grst_l),
4254
                       .arst_l          (arst_l),
4255
                       .se              (se),
4256
                       .thrd_en_g       (1'b0),      // Templated
4257
                       .cpx_st_ack_tid  (1'b0),       // Templated
4258
                       .pcx_rq_for_stb  (1'b0),     // Templated
4259
                       .st_ack_dq_stb   (1'b0),  // Templated
4260
                       .stb_flush_st_g  (1'b0),     // Templated
4261
                       .stb_cam_wvld_m  (1'b0),     // Templated
4262
                       .lsu_blk_st_m    (1'b0),
4263
                       .tlb_pgnum_g     (3'b000), // Templated
4264
                       .pcx_req_squash  (1'b0),   // Templated
4265
                       .flshinst_rst    (1'b0), // Templated
4266
                       .lsu_stbctl_flush_pipe_w(1'b0),
4267
                       .flsh_inst_m     (1'b0),
4268
                       .stb_state_si_0  (2'b00),  // Templated
4269
                       .stb_state_si_1  (2'b00),  // Templated
4270
                       .stb_state_si_2  (2'b00),  // Templated
4271
                       .stb_state_si_3  (2'b00),  // Templated
4272
                       .stb_state_si_4  (2'b00),  // Templated
4273
                       .stb_state_si_5  (2'b00),  // Templated
4274
                       .stb_state_si_6  (2'b00),  // Templated
4275
                       .stb_state_si_7  (2'b00),  // Templated
4276
                       .stb_state_rtype_0(2'b00), // Templated
4277
                       .stb_state_rtype_1(2'b00), // Templated
4278
                       .stb_state_rtype_2(2'b00), // Templated
4279
                       .stb_state_rtype_3(2'b00), // Templated
4280
                       .stb_state_rtype_4(2'b00), // Templated
4281
                       .stb_state_rtype_5(2'b00), // Templated
4282
                       .stb_state_rtype_6(2'b00), // Templated
4283
                       .stb_state_rtype_7(2'b00), // Templated
4284
                       .stb_state_rmo   (8'h00),   // Templated
4285
                       .stb_alt_sel     (1'b0),          // Templated
4286
                       .stb_alt_addr    (3'b000), // Templated
4287
                       .lsu_dtlb_bypass_e(1'b0),
4288
                       .tlb_cam_hit     (1'b0),
4289
                       .st_dtlb_perr_g  (1'b0), // Templated
4290
                       .lsu_outstanding_rmo_st_max(1'b0)); // Templated
4291
lsu_stb_ctldp stb_ctldp2  (
4292
                           .so          (short_scan1_8),
4293
                           .si          (short_scan1_7),
4294
                           /*AUTOINST*/
4295
                           // Outputs
4296
                           .stb_state_si_0(), // Templated
4297
                           .stb_state_si_1(), // Templated
4298
                           .stb_state_si_2(), // Templated
4299
                           .stb_state_si_3(), // Templated
4300
                           .stb_state_si_4(), // Templated
4301
                           .stb_state_si_5(), // Templated
4302
                           .stb_state_si_6(), // Templated
4303
                           .stb_state_si_7(), // Templated
4304
                           .stb_state_rtype_0(), // Templated
4305
                           .stb_state_rtype_1(), // Templated
4306
                           .stb_state_rtype_2(), // Templated
4307
                           .stb_state_rtype_3(), // Templated
4308
                           .stb_state_rtype_4(), // Templated
4309
                           .stb_state_rtype_5(), // Templated
4310
                           .stb_state_rtype_6(), // Templated
4311
                           .stb_state_rtype_7(), // Templated
4312
                           .stb_state_rmo(),  // Templated
4313
                           // Inputs
4314
                           .rclk        (clk),                   // Templated
4315
                           .se          (se),
4316
                           .stb_clk_en_l(stb2_clk_en_l[7:0]),    // Templated
4317
                           .lsu_stb_va_m(2'b00),
4318
                           .lsu_st_rq_type_m(2'b00),
4319
                           .lsu_st_rmo_m(1'b0));
4320 95 fafa1971
 
4321 113 albert.wat
   lsu_stb_ctl stb_ctl3  (
4322
                       .so              (short_scan0_8),
4323
                       .si              (short_scan0_7),
4324
                       /*AUTOINST*/
4325
                       // Outputs
4326
                       .stb_clk_en_l    (stb3_clk_en_l[7:0]),    // Templated
4327
                       .stb_crnt_ack_id (), // Templated
4328
                       .lsu_stb_empty   (),      // Templated
4329
                       .stb_l2bnk_addr  (),    // Templated
4330
                       .stb_atm_rq_type (), // Templated
4331
                       .stb_wrptr       (),       // Templated
4332
                       .stb_rd_for_pcx  (),     // Templated
4333
                       .stb_pcx_rptr    (),    // Templated
4334
                       .stb_wrptr_prev  (),  // Templated
4335
                       .stb_state_ced_mod(),  // Templated
4336
                       .stb_state_vld_out(),  // Templated
4337
                       .lsu_stbcnt      (),      // Templated
4338
                       .stb_rmo_st_issue(), // Templated
4339
                       .stb_full        (), // Templated
4340
                       .st_pcx_rq_kill_w2(), // Templated
4341
                       // Inputs
4342
                       .rclk            (clk),                   // Templated
4343
                       .grst_l          (grst_l),
4344
                       .arst_l          (arst_l),
4345
                       .se              (se),
4346
                       .thrd_en_g       (1'b0),      // Templated
4347
                       .cpx_st_ack_tid  (1'b0),       // Templated
4348
                       .pcx_rq_for_stb  (1'b0),     // Templated
4349
                       .st_ack_dq_stb   (1'b0),  // Templated
4350
                       .stb_flush_st_g  (1'b0),     // Templated
4351
                       .stb_cam_wvld_m  (1'b0),     // Templated
4352
                       .lsu_blk_st_m    (1'b0),
4353
                       .tlb_pgnum_g     (3'b000), // Templated
4354
                       .pcx_req_squash  (1'b0),   // Templated
4355
                       .flshinst_rst    (1'b0), // Templated
4356
                       .lsu_stbctl_flush_pipe_w(1'b0),
4357
                       .flsh_inst_m     (1'b0),
4358
                       .stb_state_si_0  (2'b00),  // Templated
4359
                       .stb_state_si_1  (2'b00),  // Templated
4360
                       .stb_state_si_2  (2'b00),  // Templated
4361
                       .stb_state_si_3  (2'b00),  // Templated
4362
                       .stb_state_si_4  (2'b00),  // Templated
4363
                       .stb_state_si_5  (2'b00),  // Templated
4364
                       .stb_state_si_6  (2'b00),  // Templated
4365
                       .stb_state_si_7  (2'b00),  // Templated
4366
                       .stb_state_rtype_0(2'b00), // Templated
4367
                       .stb_state_rtype_1(2'b00), // Templated
4368
                       .stb_state_rtype_2(2'b00), // Templated
4369
                       .stb_state_rtype_3(2'b00), // Templated
4370
                       .stb_state_rtype_4(2'b00), // Templated
4371
                       .stb_state_rtype_5(2'b00), // Templated
4372
                       .stb_state_rtype_6(2'b00), // Templated
4373
                       .stb_state_rtype_7(2'b00), // Templated
4374
                       .stb_state_rmo   (8'h00),   // Templated
4375
                       .stb_alt_sel     (1'b0),          // Templated
4376
                       .stb_alt_addr    (3'b000), // Templated
4377
                       .lsu_dtlb_bypass_e(1'b0),
4378
                       .tlb_cam_hit     (1'b0),
4379
                       .st_dtlb_perr_g  (1'b0), // Templated
4380
                       .lsu_outstanding_rmo_st_max(1'b0)); // Templated
4381
lsu_stb_ctldp stb_ctldp3  (
4382
                           .so          (short_so1),
4383
                           .si          (short_scan1_8),
4384
                           /*AUTOINST*/
4385
                           // Outputs
4386
                           .stb_state_si_0(), // Templated
4387
                           .stb_state_si_1(), // Templated
4388
                           .stb_state_si_2(), // Templated
4389
                           .stb_state_si_3(), // Templated
4390
                           .stb_state_si_4(), // Templated
4391
                           .stb_state_si_5(), // Templated
4392
                           .stb_state_si_6(), // Templated
4393
                           .stb_state_si_7(), // Templated
4394
                           .stb_state_rtype_0(), // Templated
4395
                           .stb_state_rtype_1(), // Templated
4396
                           .stb_state_rtype_2(), // Templated
4397
                           .stb_state_rtype_3(), // Templated
4398
                           .stb_state_rtype_4(), // Templated
4399
                           .stb_state_rtype_5(), // Templated
4400
                           .stb_state_rtype_6(), // Templated
4401
                           .stb_state_rtype_7(), // Templated
4402
                           .stb_state_rmo(),  // Templated
4403
                           // Inputs
4404
                           .rclk        (clk),                   // Templated
4405
                           .se          (se),
4406
                           .stb_clk_en_l(stb3_clk_en_l[7:0]),    // Templated
4407
                           .lsu_stb_va_m(2'b00),
4408
                           .lsu_st_rq_type_m(2'b00),
4409
                           .lsu_st_rmo_m(1'b0));
4410
`else // !`ifdef FPGA_SYN_1THREAD
4411 95 fafa1971
 
4412
 
4413
lsu_stb_ctl stb_ctl1  (
4414
                       .so              (short_scan1_6),
4415
                       .si              (short_scan1_5),
4416
                       /*AUTOINST*/
4417
                       // Outputs
4418
                       .stb_clk_en_l    (stb1_clk_en_l[7:0]),    // Templated
4419
                       .stb_crnt_ack_id (stb1_crnt_ack_id[2:0]), // Templated
4420
                       .lsu_stb_empty   (lsu_stb_empty[1]),      // Templated
4421
                       .stb_l2bnk_addr  (stb1_l2b_addr[2:0]),    // Templated
4422
                       .stb_atm_rq_type (stb1_atm_rq_type[2:1]), // Templated
4423
                       .stb_wrptr       (stb_wrptr1[2:0]),       // Templated
4424
                       .stb_rd_for_pcx  (stb_rd_for_pcx[1]),     // Templated
4425
                       .stb_pcx_rptr    (stb_pcx_rptr1[2:0]),    // Templated
4426
                       .stb_wrptr_prev  (stb_wrptr1_prev[2:0]),  // Templated
4427
                       .stb_state_ced_mod(stb_state_ced1[7:0]),  // Templated
4428
                       .stb_state_vld_out(stb_state_vld1[7:0]),  // Templated
4429
                       .lsu_stbcnt      (lsu_stbcnt1[3:0]),      // Templated
4430
                       .stb_rmo_st_issue(lsu_stb_rmo_st_issue[1]), // Templated
4431
                       .stb_full        (lsu_tlu_stb_full_w2_t[1]), // Templated
4432
                       .st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[1]), // Templated
4433
                       // Inputs
4434
                       .rclk            (clk),                   // Templated
4435
                       .grst_l          (grst_l),
4436
                       .arst_l          (arst_l),
4437
                       .se              (se),
4438
                       .thrd_en_g       (stb_thrd_en_g[1]),      // Templated
4439
                       .cpx_st_ack_tid  (cpx_st_ack_tid1),       // Templated
4440
                       .pcx_rq_for_stb  (pcx_rq_for_stb[1]),     // Templated
4441
                       .st_ack_dq_stb   (lsu_st_ack_dq_stb[1]),  // Templated
4442
                       .stb_flush_st_g  (stb_flush_st_g[1]),     // Templated
4443
                       .stb_cam_wvld_m  (stb_cam_wvld_m[1]),     // Templated
4444
                       .lsu_blk_st_m    (lsu_blk_st_m),
4445
                       .tlb_pgnum_g     (tlb_pgnum_buf2[39:37]), // Templated
4446
                       .pcx_req_squash  (lsu_pcx_req_squash1),   // Templated
4447
                       .flshinst_rst    (lsu_dfq_flsh_cmplt[1]), // Templated
4448
                       .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
4449
                       .flsh_inst_m     (flsh_inst_m),
4450
                       .stb_state_si_0  (stb1_state_si_0[3:2]),  // Templated
4451
                       .stb_state_si_1  (stb1_state_si_1[3:2]),  // Templated
4452
                       .stb_state_si_2  (stb1_state_si_2[3:2]),  // Templated
4453
                       .stb_state_si_3  (stb1_state_si_3[3:2]),  // Templated
4454
                       .stb_state_si_4  (stb1_state_si_4[3:2]),  // Templated
4455
                       .stb_state_si_5  (stb1_state_si_5[3:2]),  // Templated
4456
                       .stb_state_si_6  (stb1_state_si_6[3:2]),  // Templated
4457
                       .stb_state_si_7  (stb1_state_si_7[3:2]),  // Templated
4458
                       .stb_state_rtype_0(stb1_state_rtype_0[2:1]), // Templated
4459
                       .stb_state_rtype_1(stb1_state_rtype_1[2:1]), // Templated
4460
                       .stb_state_rtype_2(stb1_state_rtype_2[2:1]), // Templated
4461
                       .stb_state_rtype_3(stb1_state_rtype_3[2:1]), // Templated
4462
                       .stb_state_rtype_4(stb1_state_rtype_4[2:1]), // Templated
4463
                       .stb_state_rtype_5(stb1_state_rtype_5[2:1]), // Templated
4464
                       .stb_state_rtype_6(stb1_state_rtype_6[2:1]), // Templated
4465
                       .stb_state_rtype_7(stb1_state_rtype_7[2:1]), // Templated
4466
                       .stb_state_rmo   (stb1_state_rmo[7:0]),   // Templated
4467
                       .stb_alt_sel     (lsu_blk_st_m),          // Templated
4468
                       .stb_alt_addr    (lsu_blkst_pgnum_m[39:37]), // Templated
4469
                       .lsu_dtlb_bypass_e(lsu_dtlb_bypass_e),
4470
                       .tlb_cam_hit     (tlb_cam_hit),
4471
                       .st_dtlb_perr_g  (lsu_st_dtlb_perr_g[1]), // Templated
4472
                       .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[1])); // Templated
4473
lsu_stb_ctldp stb_ctldp1  (
4474
                           .so          (short_scan0_7),
4475
                           .si          (short_scan0_6),
4476
                           /*AUTOINST*/
4477
                           // Outputs
4478
                           .stb_state_si_0(stb1_state_si_0[3:2]), // Templated
4479
                           .stb_state_si_1(stb1_state_si_1[3:2]), // Templated
4480
                           .stb_state_si_2(stb1_state_si_2[3:2]), // Templated
4481
                           .stb_state_si_3(stb1_state_si_3[3:2]), // Templated
4482
                           .stb_state_si_4(stb1_state_si_4[3:2]), // Templated
4483
                           .stb_state_si_5(stb1_state_si_5[3:2]), // Templated
4484
                           .stb_state_si_6(stb1_state_si_6[3:2]), // Templated
4485
                           .stb_state_si_7(stb1_state_si_7[3:2]), // Templated
4486
                           .stb_state_rtype_0(stb1_state_rtype_0[2:1]), // Templated
4487
                           .stb_state_rtype_1(stb1_state_rtype_1[2:1]), // Templated
4488
                           .stb_state_rtype_2(stb1_state_rtype_2[2:1]), // Templated
4489
                           .stb_state_rtype_3(stb1_state_rtype_3[2:1]), // Templated
4490
                           .stb_state_rtype_4(stb1_state_rtype_4[2:1]), // Templated
4491
                           .stb_state_rtype_5(stb1_state_rtype_5[2:1]), // Templated
4492
                           .stb_state_rtype_6(stb1_state_rtype_6[2:1]), // Templated
4493
                           .stb_state_rtype_7(stb1_state_rtype_7[2:1]), // Templated
4494
                           .stb_state_rmo(stb1_state_rmo[7:0]),  // Templated
4495
                           // Inputs
4496
                           .rclk        (clk),                   // Templated
4497
                           .se          (se),
4498
                           .stb_clk_en_l(stb1_clk_en_l[7:0]),    // Templated
4499
                           .lsu_stb_va_m(lsu_stb_va_m[7:6]),
4500
                           .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
4501
                           .lsu_st_rmo_m(lsu_st_rmo_m));
4502
 
4503
lsu_stb_ctl stb_ctl2  (
4504
                       .so              (short_scan1_7),
4505
                       .si              (short_scan1_6),
4506
                       /*AUTOINST*/
4507
                       // Outputs
4508
                       .stb_clk_en_l    (stb2_clk_en_l[7:0]),    // Templated
4509
                       .stb_crnt_ack_id (stb2_crnt_ack_id[2:0]), // Templated
4510
                       .lsu_stb_empty   (lsu_stb_empty[2]),      // Templated
4511
                       .stb_l2bnk_addr  (stb2_l2b_addr[2:0]),    // Templated
4512
                       .stb_atm_rq_type (stb2_atm_rq_type[2:1]), // Templated
4513
                       .stb_wrptr       (stb_wrptr2[2:0]),       // Templated
4514
                       .stb_rd_for_pcx  (stb_rd_for_pcx[2]),     // Templated
4515
                       .stb_pcx_rptr    (stb_pcx_rptr2[2:0]),    // Templated
4516
                       .stb_wrptr_prev  (stb_wrptr2_prev[2:0]),  // Templated
4517
                       .stb_state_ced_mod(stb_state_ced2[7:0]),  // Templated
4518
                       .stb_state_vld_out(stb_state_vld2[7:0]),  // Templated
4519
                       .lsu_stbcnt      (lsu_stbcnt2[3:0]),      // Templated
4520
                       .stb_rmo_st_issue(lsu_stb_rmo_st_issue[2]), // Templated
4521
                       .stb_full        (lsu_tlu_stb_full_w2_t[2]), // Templated
4522
                       .st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[2]), // Templated
4523
                       // Inputs
4524
                       .rclk            (clk),                   // Templated
4525
                       .grst_l          (grst_l),
4526
                       .arst_l          (arst_l),
4527
                       .se              (se),
4528
                       .thrd_en_g       (stb_thrd_en_g[2]),      // Templated
4529
                       .cpx_st_ack_tid  (cpx_st_ack_tid2),       // Templated
4530
                       .pcx_rq_for_stb  (pcx_rq_for_stb[2]),     // Templated
4531
                       .st_ack_dq_stb   (lsu_st_ack_dq_stb[2]),  // Templated
4532
                       .stb_flush_st_g  (stb_flush_st_g[2]),     // Templated
4533
                       .stb_cam_wvld_m  (stb_cam_wvld_m[2]),     // Templated
4534
                       .lsu_blk_st_m    (lsu_blk_st_m),
4535
                       .tlb_pgnum_g     (tlb_pgnum_buf2[39:37]), // Templated
4536
                       .pcx_req_squash  (lsu_pcx_req_squash2),   // Templated
4537
                       .flshinst_rst    (lsu_dfq_flsh_cmplt[2]), // Templated
4538
                       .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
4539
                       .flsh_inst_m     (flsh_inst_m),
4540
                       .stb_state_si_0  (stb2_state_si_0[3:2]),  // Templated
4541
                       .stb_state_si_1  (stb2_state_si_1[3:2]),  // Templated
4542
                       .stb_state_si_2  (stb2_state_si_2[3:2]),  // Templated
4543
                       .stb_state_si_3  (stb2_state_si_3[3:2]),  // Templated
4544
                       .stb_state_si_4  (stb2_state_si_4[3:2]),  // Templated
4545
                       .stb_state_si_5  (stb2_state_si_5[3:2]),  // Templated
4546
                       .stb_state_si_6  (stb2_state_si_6[3:2]),  // Templated
4547
                       .stb_state_si_7  (stb2_state_si_7[3:2]),  // Templated
4548
                       .stb_state_rtype_0(stb2_state_rtype_0[2:1]), // Templated
4549
                       .stb_state_rtype_1(stb2_state_rtype_1[2:1]), // Templated
4550
                       .stb_state_rtype_2(stb2_state_rtype_2[2:1]), // Templated
4551
                       .stb_state_rtype_3(stb2_state_rtype_3[2:1]), // Templated
4552
                       .stb_state_rtype_4(stb2_state_rtype_4[2:1]), // Templated
4553
                       .stb_state_rtype_5(stb2_state_rtype_5[2:1]), // Templated
4554
                       .stb_state_rtype_6(stb2_state_rtype_6[2:1]), // Templated
4555
                       .stb_state_rtype_7(stb2_state_rtype_7[2:1]), // Templated
4556
                       .stb_state_rmo   (stb2_state_rmo[7:0]),   // Templated
4557
                       .stb_alt_sel     (lsu_blk_st_m),          // Templated
4558
                       .stb_alt_addr    (lsu_blkst_pgnum_m[39:37]), // Templated
4559
                       .lsu_dtlb_bypass_e(lsu_dtlb_bypass_e),
4560
                       .tlb_cam_hit     (tlb_cam_hit),
4561
                       .st_dtlb_perr_g  (lsu_st_dtlb_perr_g[2]), // Templated
4562
                       .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[2])); // Templated
4563
lsu_stb_ctldp stb_ctldp2  (
4564
                           .so          (short_scan1_8),
4565
                           .si          (short_scan1_7),
4566
                           /*AUTOINST*/
4567
                           // Outputs
4568
                           .stb_state_si_0(stb2_state_si_0[3:2]), // Templated
4569
                           .stb_state_si_1(stb2_state_si_1[3:2]), // Templated
4570
                           .stb_state_si_2(stb2_state_si_2[3:2]), // Templated
4571
                           .stb_state_si_3(stb2_state_si_3[3:2]), // Templated
4572
                           .stb_state_si_4(stb2_state_si_4[3:2]), // Templated
4573
                           .stb_state_si_5(stb2_state_si_5[3:2]), // Templated
4574
                           .stb_state_si_6(stb2_state_si_6[3:2]), // Templated
4575
                           .stb_state_si_7(stb2_state_si_7[3:2]), // Templated
4576
                           .stb_state_rtype_0(stb2_state_rtype_0[2:1]), // Templated
4577
                           .stb_state_rtype_1(stb2_state_rtype_1[2:1]), // Templated
4578
                           .stb_state_rtype_2(stb2_state_rtype_2[2:1]), // Templated
4579
                           .stb_state_rtype_3(stb2_state_rtype_3[2:1]), // Templated
4580
                           .stb_state_rtype_4(stb2_state_rtype_4[2:1]), // Templated
4581
                           .stb_state_rtype_5(stb2_state_rtype_5[2:1]), // Templated
4582
                           .stb_state_rtype_6(stb2_state_rtype_6[2:1]), // Templated
4583
                           .stb_state_rtype_7(stb2_state_rtype_7[2:1]), // Templated
4584
                           .stb_state_rmo(stb2_state_rmo[7:0]),  // Templated
4585
                           // Inputs
4586
                           .rclk        (clk),                   // Templated
4587
                           .se          (se),
4588
                           .stb_clk_en_l(stb2_clk_en_l[7:0]),    // Templated
4589
                           .lsu_stb_va_m(lsu_stb_va_m[7:6]),
4590
                           .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
4591
                           .lsu_st_rmo_m(lsu_st_rmo_m));
4592
 
4593
lsu_stb_ctl stb_ctl3  (
4594
                       .so              (short_scan0_8),
4595
                       .si              (short_scan0_7),
4596
                       /*AUTOINST*/
4597
                       // Outputs
4598
                       .stb_clk_en_l    (stb3_clk_en_l[7:0]),    // Templated
4599
                       .stb_crnt_ack_id (stb3_crnt_ack_id[2:0]), // Templated
4600
                       .lsu_stb_empty   (lsu_stb_empty[3]),      // Templated
4601
                       .stb_l2bnk_addr  (stb3_l2b_addr[2:0]),    // Templated
4602
                       .stb_atm_rq_type (stb3_atm_rq_type[2:1]), // Templated
4603
                       .stb_wrptr       (stb_wrptr3[2:0]),       // Templated
4604
                       .stb_rd_for_pcx  (stb_rd_for_pcx[3]),     // Templated
4605
                       .stb_pcx_rptr    (stb_pcx_rptr3[2:0]),    // Templated
4606
                       .stb_wrptr_prev  (stb_wrptr3_prev[2:0]),  // Templated
4607
                       .stb_state_ced_mod(stb_state_ced3[7:0]),  // Templated
4608
                       .stb_state_vld_out(stb_state_vld3[7:0]),  // Templated
4609
                       .lsu_stbcnt      (lsu_stbcnt3[3:0]),      // Templated
4610
                       .stb_rmo_st_issue(lsu_stb_rmo_st_issue[3]), // Templated
4611
                       .stb_full        (lsu_tlu_stb_full_w2_t[3]), // Templated
4612
                       .st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[3]), // Templated
4613
                       // Inputs
4614
                       .rclk            (clk),                   // Templated
4615
                       .grst_l          (grst_l),
4616
                       .arst_l          (arst_l),
4617
                       .se              (se),
4618
                       .thrd_en_g       (stb_thrd_en_g[3]),      // Templated
4619
                       .cpx_st_ack_tid  (cpx_st_ack_tid3),       // Templated
4620
                       .pcx_rq_for_stb  (pcx_rq_for_stb[3]),     // Templated
4621
                       .st_ack_dq_stb   (lsu_st_ack_dq_stb[3]),  // Templated
4622
                       .stb_flush_st_g  (stb_flush_st_g[3]),     // Templated
4623
                       .stb_cam_wvld_m  (stb_cam_wvld_m[3]),     // Templated
4624
                       .lsu_blk_st_m    (lsu_blk_st_m),
4625
                       .tlb_pgnum_g     (tlb_pgnum_buf2[39:37]), // Templated
4626
                       .pcx_req_squash  (lsu_pcx_req_squash3),   // Templated
4627
                       .flshinst_rst    (lsu_dfq_flsh_cmplt[3]), // Templated
4628
                       .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
4629
                       .flsh_inst_m     (flsh_inst_m),
4630
                       .stb_state_si_0  (stb3_state_si_0[3:2]),  // Templated
4631
                       .stb_state_si_1  (stb3_state_si_1[3:2]),  // Templated
4632
                       .stb_state_si_2  (stb3_state_si_2[3:2]),  // Templated
4633
                       .stb_state_si_3  (stb3_state_si_3[3:2]),  // Templated
4634
                       .stb_state_si_4  (stb3_state_si_4[3:2]),  // Templated
4635
                       .stb_state_si_5  (stb3_state_si_5[3:2]),  // Templated
4636
                       .stb_state_si_6  (stb3_state_si_6[3:2]),  // Templated
4637
                       .stb_state_si_7  (stb3_state_si_7[3:2]),  // Templated
4638
                       .stb_state_rtype_0(stb3_state_rtype_0[2:1]), // Templated
4639
                       .stb_state_rtype_1(stb3_state_rtype_1[2:1]), // Templated
4640
                       .stb_state_rtype_2(stb3_state_rtype_2[2:1]), // Templated
4641
                       .stb_state_rtype_3(stb3_state_rtype_3[2:1]), // Templated
4642
                       .stb_state_rtype_4(stb3_state_rtype_4[2:1]), // Templated
4643
                       .stb_state_rtype_5(stb3_state_rtype_5[2:1]), // Templated
4644
                       .stb_state_rtype_6(stb3_state_rtype_6[2:1]), // Templated
4645
                       .stb_state_rtype_7(stb3_state_rtype_7[2:1]), // Templated
4646
                       .stb_state_rmo   (stb3_state_rmo[7:0]),   // Templated
4647
                       .stb_alt_sel     (lsu_blk_st_m),          // Templated
4648
                       .stb_alt_addr    (lsu_blkst_pgnum_m[39:37]), // Templated
4649
                       .lsu_dtlb_bypass_e(lsu_dtlb_bypass_e),
4650
                       .tlb_cam_hit     (tlb_cam_hit),
4651
                       .st_dtlb_perr_g  (lsu_st_dtlb_perr_g[3]), // Templated
4652
                       .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[3])); // Templated
4653
lsu_stb_ctldp stb_ctldp3  (
4654
                           .so          (short_so1),
4655
                           .si          (short_scan1_8),
4656
                           /*AUTOINST*/
4657
                           // Outputs
4658
                           .stb_state_si_0(stb3_state_si_0[3:2]), // Templated
4659
                           .stb_state_si_1(stb3_state_si_1[3:2]), // Templated
4660
                           .stb_state_si_2(stb3_state_si_2[3:2]), // Templated
4661
                           .stb_state_si_3(stb3_state_si_3[3:2]), // Templated
4662
                           .stb_state_si_4(stb3_state_si_4[3:2]), // Templated
4663
                           .stb_state_si_5(stb3_state_si_5[3:2]), // Templated
4664
                           .stb_state_si_6(stb3_state_si_6[3:2]), // Templated
4665
                           .stb_state_si_7(stb3_state_si_7[3:2]), // Templated
4666
                           .stb_state_rtype_0(stb3_state_rtype_0[2:1]), // Templated
4667
                           .stb_state_rtype_1(stb3_state_rtype_1[2:1]), // Templated
4668
                           .stb_state_rtype_2(stb3_state_rtype_2[2:1]), // Templated
4669
                           .stb_state_rtype_3(stb3_state_rtype_3[2:1]), // Templated
4670
                           .stb_state_rtype_4(stb3_state_rtype_4[2:1]), // Templated
4671
                           .stb_state_rtype_5(stb3_state_rtype_5[2:1]), // Templated
4672
                           .stb_state_rtype_6(stb3_state_rtype_6[2:1]), // Templated
4673
                           .stb_state_rtype_7(stb3_state_rtype_7[2:1]), // Templated
4674
                           .stb_state_rmo(stb3_state_rmo[7:0]),  // Templated
4675
                           // Inputs
4676
                           .rclk        (clk),                   // Templated
4677
                           .se          (se),
4678
                           .stb_clk_en_l(stb3_clk_en_l[7:0]),    // Templated
4679
                           .lsu_stb_va_m(lsu_stb_va_m[7:6]),
4680
                           .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
4681
                           .lsu_st_rmo_m(lsu_st_rmo_m));
4682 113 albert.wat
`endif // !`ifdef FPGA_SYN_1THREAD
4683 95 fafa1971
 
4684
/*
4685
lsu_qdp1 AUTO_TEMPLATE (
4686
        .tlb_pgnum            (tlb_pgnum_buf[39:13]),
4687
        .rst_tri_en           (mux_drive_disable),
4688
        .lsu_ldst_va_m         (lsu_ldst_va_m_buf[47:0]),
4689
        .rclk                  (clk),
4690
        .dfq_byp_sel           (lsu_dfq_byp_tid_d1_sel[3:0]),
4691
        .ld_pcx_rq_sel         (lsu_ld_pcx_rq_mxsel[3:0]),
4692
        .lmq_byp_ldxa_sel0     (lmq_byp_ldxa_mxsel0[2:0]),
4693
        .lmq_byp_ldxa_sel1     (lmq_byp_ldxa_mxsel1[2:0]),
4694
        .lmq_byp_ldxa_sel2     (lmq_byp_ldxa_mxsel2[2:0]),
4695
        .lmq_byp_ldxa_sel3     (lmq_byp_ldxa_mxsel3[2:0]),
4696
        .lmq_byp_data_sel0     (lmq_byp_data_mxsel0[3:0]),
4697
        .lmq_byp_data_sel1     (lmq_byp_data_mxsel1[3:0]),
4698
        .lmq_byp_data_sel2     (lmq_byp_data_mxsel2[3:0]),
4699
        .lmq_byp_data_sel3     (lmq_byp_data_mxsel3[3:0]),
4700
        .ld_thrd_byp_sel_m     (ld_thrd_byp_mxsel_m[3:0]),
4701
        .ifu_pcx_pkt           (ifu_lsu_pcxpkt_e[51:0]),
4702
        .lmq_byp_misc_sel      (lsu_lmq_byp_misc_sel[3:0]),
4703
        .lsu_dcache_rdata_w    (dcache_rdata_wb_buf[63:0]));
4704
*/
4705 113 albert.wat
`ifdef FPGA_SYN_1THREAD
4706
 
4707
lsu_qdp1  qdp1  (
4708
                 .so                    (short_scan0_9),
4709
                 .si                    (short_scan0_8),
4710
                             .lsu_iobrdge_rd_data         ({16'b0,lsu_iobrdge_rd_data[27:0]}),
4711
                             .dtag_wdata_m                    (dtag_wdata_m[29:0]),
4712
                 /*AUTOINST*/
4713
                 // Outputs
4714
                 .lsu_va_match_b47_b32_m(lsu_va_match_b47_b32_m),
4715
                 .lsu_va_match_b31_b3_m (lsu_va_match_b31_b3_m),
4716
                 .lsu_va_wtchpt_addr    (lsu_va_wtchpt_addr[47:3]),
4717
                 .spc_pcx_data_pa       (spc_pcx_data_pa[`PCX_WIDTH-1:0]),
4718
                 .lmq0_byp_misc_sz      (lmq0_byp_misc_sz[1:0]),
4719
                 .lmq1_byp_misc_sz      (lmq1_byp_misc_sz[1:0]),
4720
                 .lmq2_byp_misc_sz      (lmq2_byp_misc_sz[1:0]),
4721
                 .lmq3_byp_misc_sz      (lmq3_byp_misc_sz[1:0]),
4722
                 .lsu_byp_misc_sz_e     (lsu_byp_misc_sz_e[1:0]),
4723
                 .lsu_l2fill_sign_extend_m(lsu_l2fill_sign_extend_m),
4724
                 .lsu_l2fill_bendian_m  (lsu_l2fill_bendian_m),
4725
                 .lmq0_l2fill_fpld      (lmq0_l2fill_fpld),
4726
                 .lmq1_l2fill_fpld      (lmq1_l2fill_fpld),
4727
                 .lmq2_l2fill_fpld      (lmq2_l2fill_fpld),
4728
                 .lmq3_l2fill_fpld      (lmq3_l2fill_fpld),
4729
                 .lmq_ld_rd1            (lmq_ld_rd1[4:0]),
4730
                 .lmq0_ncache_ld        (lmq0_ncache_ld),
4731
                 .lmq1_ncache_ld        (lmq1_ncache_ld),
4732
                 .lmq2_ncache_ld        (lmq2_ncache_ld),
4733
                 .lmq3_ncache_ld        (lmq3_ncache_ld),
4734
                 .lmq0_ld_rq_type       (lmq0_ld_rq_type[2:0]),
4735
                 .lmq1_ld_rq_type       (lmq1_ld_rq_type[2:0]),
4736
                 .lmq2_ld_rq_type       (lmq2_ld_rq_type[2:0]),
4737
                 .lmq3_ld_rq_type       (lmq3_ld_rq_type[2:0]),
4738
                 .lmq0_ldd_vld          (lmq0_ldd_vld),
4739
                 .lmq1_ldd_vld          (lmq1_ldd_vld),
4740
                 .lmq2_ldd_vld          (lmq2_ldd_vld),
4741
                 .lmq3_ldd_vld          (lmq3_ldd_vld),
4742
                 .ld_sec_hit_thrd0      (ld_sec_hit_thrd0),
4743
                 .ld_sec_hit_thrd1      (ld_sec_hit_thrd1),
4744
                 .ld_sec_hit_thrd2      (ld_sec_hit_thrd2),
4745
                 .ld_sec_hit_thrd3      (ld_sec_hit_thrd3),
4746
                 .lmq0_pcx_pkt_addr     (lmq0_pcx_pkt_addr[10:0]),
4747
                 .lmq1_pcx_pkt_addr     (lmq1_pcx_pkt_addr[10:0]),
4748
                 .lmq2_pcx_pkt_addr     (lmq2_pcx_pkt_addr[10:0]),
4749
                 .lmq3_pcx_pkt_addr     (lmq3_pcx_pkt_addr[10:0]),
4750
                 .lsu_mmu_rs3_data_g    (lsu_mmu_rs3_data_g[63:0]),
4751
                 .lsu_tlu_rs3_data_g    (lsu_tlu_rs3_data_g[63:0]),
4752
                 .lsu_diagnstc_wr_data_b0(lsu_diagnstc_wr_data_b0),
4753
                 .lsu_diagnstc_wr_data_e(lsu_diagnstc_wr_data_e[63:0]),
4754
                 .lsu_ifu_stxa_data     (lsu_ifu_stxa_data[47:0]),
4755
                 .lsu_ifu_ld_icache_index(lsu_ifu_ld_icache_index[11:5]),
4756
                 .lsu_ifu_ld_pcxpkt_tid (lsu_ifu_ld_pcxpkt_tid[1:0]),
4757
                 .lsu_error_pa_m        (lsu_error_pa_m[28:0]),
4758
                 .lsu_pref_pcx_req      (lsu_pref_pcx_req),
4759
                 .st_rs3_data_g         (st_rs3_data_g[63:0]),
4760
                 .lsu_ldst_va_way_g     (lsu_ldst_va_way_g[1:0]),
4761
                 .dcache_alt_data_w0_m  (dcache_alt_data_w0_m[63:0]),
4762
                 // Inputs
4763
                 .rclk                  (clk),                   // Templated
4764
                 .se                    (se),
4765
                 .sehold                (sehold),
4766
                 .lsu_dcache_iob_rd_w   (lsu_dcache_iob_rd_w),
4767
                 .lsu_ramtest_rd_w      (lsu_ramtest_rd_w),
4768
                 .lsu_pcx_rq_sz_b3      (lsu_pcx_rq_sz_b3),
4769
                 .lsu_diagnstc_data_sel (lsu_diagnstc_data_sel[3:0]),
4770
                 .pcx_pkt_src_sel       (pcx_pkt_src_sel[3:0]),
4771
                 .lsu_stb_pcx_rvld_d1   (lsu_stb_pcx_rvld_d1),
4772
                 .imiss_pcx_mx_sel      (imiss_pcx_mx_sel),
4773
                 .fwd_int_fp_pcx_mx_sel (fwd_int_fp_pcx_mx_sel[2:0]),
4774
                 .spu_lsu_ldst_pckt     (spu_lsu_ldst_pckt[`PCX_WIDTH-1:0]),
4775
                 .tlu_lsu_pcxpkt        (tlu_lsu_pcxpkt[25:0]),
4776
                 .const_cpuid           (const_cpuid[2:0]),
4777
                 .ifu_pcx_pkt           (ifu_lsu_pcxpkt_e[51:0]), // Templated
4778
                 .lmq_byp_data_en_w2    (lmq_byp_data_en_w2[3:0]),
4779
                 .lmq_byp_data_sel0     (lmq_byp_data_mxsel0[3:0]), // Templated
4780
                 .lmq_byp_data_sel1     (lmq_byp_data_mxsel1[3:0]), // Templated
4781
                 .lmq_byp_data_sel2     (lmq_byp_data_mxsel2[3:0]), // Templated
4782
                 .lmq_byp_data_sel3     (lmq_byp_data_mxsel3[3:0]), // Templated
4783
                 .lmq_byp_ldxa_sel0     (lmq_byp_ldxa_mxsel0[2:0]), // Templated
4784
                 .lmq_byp_ldxa_sel1     (lmq_byp_ldxa_mxsel1[2:0]), // Templated
4785
                 .lmq_byp_ldxa_sel2     (lmq_byp_ldxa_mxsel2[2:0]), // Templated
4786
                 .lmq_byp_ldxa_sel3     (lmq_byp_ldxa_mxsel3[2:0]), // Templated
4787
                 .lmq_byp_data_fmx_sel  (lmq_byp_data_fmx_sel[3:0]),
4788
                 .exu_lsu_rs3_data_e    (exu_lsu_rs3_data_e[63:0]),
4789
                 .ifu_lsu_ldxa_data_w2  (ifu_lsu_ldxa_data_w2[63:0]),
4790
                 .tlu_lsu_int_ldxa_data_w2(tlu_lsu_int_ldxa_data_w2[63:0]),
4791
                 .spu_lsu_ldxa_data_w2  (spu_lsu_ldxa_data_w2[63:0]),
4792
                 .stb_rdata_ramd        (stb_rdata_ramd[75:0]),
4793
                 .stb_rdata_ramc        (stb_rdata_ramc[44:9]),
4794
                 .lmq_byp_misc_sel      (lsu_lmq_byp_misc_sel[3:0]), // Templated
4795
                 .dfq_byp_sel           (lsu_dfq_byp_tid_d1_sel[3:0]), // Templated
4796
                 .ld_pcx_rq_sel         (lsu_ld_pcx_rq_mxsel[3:0]), // Templated
4797
                 .ld_pcx_thrd           (ld_pcx_thrd[1:0]),
4798
                 .lmq_enable            (lmq_enable[3:0]),
4799
                 .ld_pcx_pkt_g          (ld_pcx_pkt_g[`LMQ_WIDTH-1:40]),
4800
                 .ffu_lsu_data          (ffu_lsu_data[80:0]),
4801
                 .lsu_tlb_st_sel_m      (lsu_tlb_st_sel_m[3:0]),
4802
                 .lsu_pcx_fwd_pkt       (lsu_pcx_fwd_pkt[107:0]),
4803
                 .lsu_pcx_fwd_reply     (lsu_pcx_fwd_reply),
4804
                 .lsu_diagnstc_dtagv_prty_invrt_e(lsu_diagnstc_dtagv_prty_invrt_e),
4805
                 .lsu_misc_rdata_w2     (lsu_misc_rdata_w2[63:0]),
4806
                 .lsu_stb_rd_tid        (lsu_stb_rd_tid[1:0]),
4807
                 .lsu_iobrdge_rply_data_sel(lsu_iobrdge_rply_data_sel[2:0]),
4808
                 .lsu_atomic_pkt2_bsel_g(lsu_atomic_pkt2_bsel_g[2:0]),
4809
                 .lsu_pcx_ld_dtag_perror_w2(lsu_pcx_ld_dtag_perror_w2),
4810
                 .lsu_dcache_rdata_w    (dcache_rdata_wb_buf[63:0]), // Templated
4811
                 .lsu_va_wtchpt0_wr_en_l(lsu_va_wtchpt0_wr_en_l),
4812
                 .lsu_va_wtchpt1_wr_en_l(lsu_va_wtchpt1_wr_en_l),
4813
                 .lsu_va_wtchpt2_wr_en_l(lsu_va_wtchpt2_wr_en_l),
4814
                 .lsu_va_wtchpt3_wr_en_l(lsu_va_wtchpt3_wr_en_l),
4815
                 .thread0_m             (thread0_m),
4816
                 .thread1_m             (1'b0),
4817
                 .thread2_m             (1'b0),
4818
                 .thread3_m             (1'b0),
4819
                 .lsu_thread_g          (lsu_thread_g[3:0]),
4820
                 .lsu_ldst_va_m         (lsu_ldst_va_m_buf[47:0]), // Templated
4821
                 .tlb_pgnum             (tlb_pgnum_buf[39:13]),  // Templated
4822
                 .lsu_bld_pcx_rq        (lsu_bld_pcx_rq),
4823
                 .lsu_bld_rq_addr       (lsu_bld_rq_addr[1:0]),
4824
                 .lmq0_pcx_pkt_way      (lmq0_pcx_pkt_way[1:0]),
4825
                 .lmq1_pcx_pkt_way      (2'b00),
4826
                 .lmq2_pcx_pkt_way      (2'b00),
4827
                 .lmq3_pcx_pkt_way      (2'b00),
4828
                 .lsu_dfq_ld_vld        (lsu_dfq_ld_vld),
4829
                 .lsu_ifu_asi_data_en_l (lsu_ifu_asi_data_en_l),
4830
                 .lsu_ld0_spec_vld_kill_w2(lsu_ld0_spec_vld_kill_w2),
4831
                 .lsu_ld1_spec_vld_kill_w2(1'b0),
4832
                 .lsu_ld2_spec_vld_kill_w2(1'b0),
4833
                 .lsu_ld3_spec_vld_kill_w2(1'b0),
4834
                 .lsu_fwd_rply_sz1_unc  (lsu_fwd_rply_sz1_unc),
4835
                 .rst_tri_en            (mux_drive_disable),     // Templated
4836
                 .lsu_l2fill_data       (lsu_l2fill_data[63:0]),
4837
                 .l2fill_vld_m          (l2fill_vld_m),
4838
                 .ld_thrd_byp_sel_m     (ld_thrd_byp_mxsel_m[3:0])); // Templated
4839
`else
4840 95 fafa1971
 
4841
lsu_qdp1  qdp1  (
4842
                 .so                    (short_scan0_9),
4843
                 .si                    (short_scan0_8),
4844
                             .lsu_iobrdge_rd_data         ({16'b0,lsu_iobrdge_rd_data[27:0]}),
4845
                             .dtag_wdata_m                    (dtag_wdata_m[29:0]),
4846
                 /*AUTOINST*/
4847
                 // Outputs
4848
                 .lsu_va_match_b47_b32_m(lsu_va_match_b47_b32_m),
4849
                 .lsu_va_match_b31_b3_m (lsu_va_match_b31_b3_m),
4850
                 .lsu_va_wtchpt_addr    (lsu_va_wtchpt_addr[47:3]),
4851 113 albert.wat
                 .spc_pcx_data_pa       (spc_pcx_data_pa[`PCX_WIDTH-1:0]),
4852 95 fafa1971
                 .lmq0_byp_misc_sz      (lmq0_byp_misc_sz[1:0]),
4853
                 .lmq1_byp_misc_sz      (lmq1_byp_misc_sz[1:0]),
4854
                 .lmq2_byp_misc_sz      (lmq2_byp_misc_sz[1:0]),
4855
                 .lmq3_byp_misc_sz      (lmq3_byp_misc_sz[1:0]),
4856
                 .lsu_byp_misc_sz_e     (lsu_byp_misc_sz_e[1:0]),
4857
                 .lsu_l2fill_sign_extend_m(lsu_l2fill_sign_extend_m),
4858
                 .lsu_l2fill_bendian_m  (lsu_l2fill_bendian_m),
4859
                 .lmq0_l2fill_fpld      (lmq0_l2fill_fpld),
4860
                 .lmq1_l2fill_fpld      (lmq1_l2fill_fpld),
4861
                 .lmq2_l2fill_fpld      (lmq2_l2fill_fpld),
4862
                 .lmq3_l2fill_fpld      (lmq3_l2fill_fpld),
4863
                 .lmq_ld_rd1            (lmq_ld_rd1[4:0]),
4864
                 .lmq0_ncache_ld        (lmq0_ncache_ld),
4865
                 .lmq1_ncache_ld        (lmq1_ncache_ld),
4866
                 .lmq2_ncache_ld        (lmq2_ncache_ld),
4867
                 .lmq3_ncache_ld        (lmq3_ncache_ld),
4868
                 .lmq0_ld_rq_type       (lmq0_ld_rq_type[2:0]),
4869
                 .lmq1_ld_rq_type       (lmq1_ld_rq_type[2:0]),
4870
                 .lmq2_ld_rq_type       (lmq2_ld_rq_type[2:0]),
4871
                 .lmq3_ld_rq_type       (lmq3_ld_rq_type[2:0]),
4872
                 .lmq0_ldd_vld          (lmq0_ldd_vld),
4873
                 .lmq1_ldd_vld          (lmq1_ldd_vld),
4874
                 .lmq2_ldd_vld          (lmq2_ldd_vld),
4875
                 .lmq3_ldd_vld          (lmq3_ldd_vld),
4876
                 .ld_sec_hit_thrd0      (ld_sec_hit_thrd0),
4877
                 .ld_sec_hit_thrd1      (ld_sec_hit_thrd1),
4878
                 .ld_sec_hit_thrd2      (ld_sec_hit_thrd2),
4879
                 .ld_sec_hit_thrd3      (ld_sec_hit_thrd3),
4880
                 .lmq0_pcx_pkt_addr     (lmq0_pcx_pkt_addr[10:0]),
4881
                 .lmq1_pcx_pkt_addr     (lmq1_pcx_pkt_addr[10:0]),
4882
                 .lmq2_pcx_pkt_addr     (lmq2_pcx_pkt_addr[10:0]),
4883
                 .lmq3_pcx_pkt_addr     (lmq3_pcx_pkt_addr[10:0]),
4884
                 .lsu_mmu_rs3_data_g    (lsu_mmu_rs3_data_g[63:0]),
4885
                 .lsu_tlu_rs3_data_g    (lsu_tlu_rs3_data_g[63:0]),
4886
                 .lsu_diagnstc_wr_data_b0(lsu_diagnstc_wr_data_b0),
4887
                 .lsu_diagnstc_wr_data_e(lsu_diagnstc_wr_data_e[63:0]),
4888
                 .lsu_ifu_stxa_data     (lsu_ifu_stxa_data[47:0]),
4889
                 .lsu_ifu_ld_icache_index(lsu_ifu_ld_icache_index[11:5]),
4890
                 .lsu_ifu_ld_pcxpkt_tid (lsu_ifu_ld_pcxpkt_tid[1:0]),
4891
                 .lsu_error_pa_m        (lsu_error_pa_m[28:0]),
4892
                 .lsu_pref_pcx_req      (lsu_pref_pcx_req),
4893
                 .st_rs3_data_g         (st_rs3_data_g[63:0]),
4894
                 .lsu_ldst_va_way_g     (lsu_ldst_va_way_g[1:0]),
4895
                 .dcache_alt_data_w0_m  (dcache_alt_data_w0_m[63:0]),
4896
                 // Inputs
4897
                 .rclk                  (clk),                   // Templated
4898
                 .se                    (se),
4899
                 .sehold                (sehold),
4900
                 .lsu_dcache_iob_rd_w   (lsu_dcache_iob_rd_w),
4901
                 .lsu_ramtest_rd_w      (lsu_ramtest_rd_w),
4902
                 .lsu_pcx_rq_sz_b3      (lsu_pcx_rq_sz_b3),
4903
                 .lsu_diagnstc_data_sel (lsu_diagnstc_data_sel[3:0]),
4904
                 .pcx_pkt_src_sel       (pcx_pkt_src_sel[3:0]),
4905
                 .lsu_stb_pcx_rvld_d1   (lsu_stb_pcx_rvld_d1),
4906
                 .imiss_pcx_mx_sel      (imiss_pcx_mx_sel),
4907
                 .fwd_int_fp_pcx_mx_sel (fwd_int_fp_pcx_mx_sel[2:0]),
4908 113 albert.wat
                 .spu_lsu_ldst_pckt     (spu_lsu_ldst_pckt[`PCX_WIDTH-1:0]),
4909 95 fafa1971
                 .tlu_lsu_pcxpkt        (tlu_lsu_pcxpkt[25:0]),
4910
                 .const_cpuid           (const_cpuid[2:0]),
4911
                 .ifu_pcx_pkt           (ifu_lsu_pcxpkt_e[51:0]), // Templated
4912
                 .lmq_byp_data_en_w2    (lmq_byp_data_en_w2[3:0]),
4913
                 .lmq_byp_data_sel0     (lmq_byp_data_mxsel0[3:0]), // Templated
4914
                 .lmq_byp_data_sel1     (lmq_byp_data_mxsel1[3:0]), // Templated
4915
                 .lmq_byp_data_sel2     (lmq_byp_data_mxsel2[3:0]), // Templated
4916
                 .lmq_byp_data_sel3     (lmq_byp_data_mxsel3[3:0]), // Templated
4917
                 .lmq_byp_ldxa_sel0     (lmq_byp_ldxa_mxsel0[2:0]), // Templated
4918
                 .lmq_byp_ldxa_sel1     (lmq_byp_ldxa_mxsel1[2:0]), // Templated
4919
                 .lmq_byp_ldxa_sel2     (lmq_byp_ldxa_mxsel2[2:0]), // Templated
4920
                 .lmq_byp_ldxa_sel3     (lmq_byp_ldxa_mxsel3[2:0]), // Templated
4921
                 .lmq_byp_data_fmx_sel  (lmq_byp_data_fmx_sel[3:0]),
4922
                 .exu_lsu_rs3_data_e    (exu_lsu_rs3_data_e[63:0]),
4923
                 .ifu_lsu_ldxa_data_w2  (ifu_lsu_ldxa_data_w2[63:0]),
4924
                 .tlu_lsu_int_ldxa_data_w2(tlu_lsu_int_ldxa_data_w2[63:0]),
4925
                 .spu_lsu_ldxa_data_w2  (spu_lsu_ldxa_data_w2[63:0]),
4926
                 .stb_rdata_ramd        (stb_rdata_ramd[75:0]),
4927
                 .stb_rdata_ramc        (stb_rdata_ramc[44:9]),
4928
                 .lmq_byp_misc_sel      (lsu_lmq_byp_misc_sel[3:0]), // Templated
4929
                 .dfq_byp_sel           (lsu_dfq_byp_tid_d1_sel[3:0]), // Templated
4930
                 .ld_pcx_rq_sel         (lsu_ld_pcx_rq_mxsel[3:0]), // Templated
4931
                 .ld_pcx_thrd           (ld_pcx_thrd[1:0]),
4932
                 .lmq_enable            (lmq_enable[3:0]),
4933 113 albert.wat
                 .ld_pcx_pkt_g          (ld_pcx_pkt_g[`LMQ_WIDTH-1:40]),
4934 95 fafa1971
                 .ffu_lsu_data          (ffu_lsu_data[80:0]),
4935
                 .lsu_tlb_st_sel_m      (lsu_tlb_st_sel_m[3:0]),
4936
                 .lsu_pcx_fwd_pkt       (lsu_pcx_fwd_pkt[107:0]),
4937
                 .lsu_pcx_fwd_reply     (lsu_pcx_fwd_reply),
4938
                 .lsu_diagnstc_dtagv_prty_invrt_e(lsu_diagnstc_dtagv_prty_invrt_e),
4939
                 .lsu_misc_rdata_w2     (lsu_misc_rdata_w2[63:0]),
4940
                 .lsu_stb_rd_tid        (lsu_stb_rd_tid[1:0]),
4941
                 .lsu_iobrdge_rply_data_sel(lsu_iobrdge_rply_data_sel[2:0]),
4942
                 .lsu_atomic_pkt2_bsel_g(lsu_atomic_pkt2_bsel_g[2:0]),
4943
                 .lsu_pcx_ld_dtag_perror_w2(lsu_pcx_ld_dtag_perror_w2),
4944
                 .lsu_dcache_rdata_w    (dcache_rdata_wb_buf[63:0]), // Templated
4945
                 .lsu_va_wtchpt0_wr_en_l(lsu_va_wtchpt0_wr_en_l),
4946
                 .lsu_va_wtchpt1_wr_en_l(lsu_va_wtchpt1_wr_en_l),
4947
                 .lsu_va_wtchpt2_wr_en_l(lsu_va_wtchpt2_wr_en_l),
4948
                 .lsu_va_wtchpt3_wr_en_l(lsu_va_wtchpt3_wr_en_l),
4949
                 .thread0_m             (thread0_m),
4950
                 .thread1_m             (thread1_m),
4951
                 .thread2_m             (thread2_m),
4952
                 .thread3_m             (thread3_m),
4953
                 .lsu_thread_g          (lsu_thread_g[3:0]),
4954
                 .lsu_ldst_va_m         (lsu_ldst_va_m_buf[47:0]), // Templated
4955
                 .tlb_pgnum             (tlb_pgnum_buf[39:13]),  // Templated
4956
                 .lsu_bld_pcx_rq        (lsu_bld_pcx_rq),
4957
                 .lsu_bld_rq_addr       (lsu_bld_rq_addr[1:0]),
4958
                 .lmq0_pcx_pkt_way      (lmq0_pcx_pkt_way[1:0]),
4959
                 .lmq1_pcx_pkt_way      (lmq1_pcx_pkt_way[1:0]),
4960
                 .lmq2_pcx_pkt_way      (lmq2_pcx_pkt_way[1:0]),
4961
                 .lmq3_pcx_pkt_way      (lmq3_pcx_pkt_way[1:0]),
4962
                 .lsu_dfq_ld_vld        (lsu_dfq_ld_vld),
4963
                 .lsu_ifu_asi_data_en_l (lsu_ifu_asi_data_en_l),
4964
                 .lsu_ld0_spec_vld_kill_w2(lsu_ld0_spec_vld_kill_w2),
4965
                 .lsu_ld1_spec_vld_kill_w2(lsu_ld1_spec_vld_kill_w2),
4966
                 .lsu_ld2_spec_vld_kill_w2(lsu_ld2_spec_vld_kill_w2),
4967
                 .lsu_ld3_spec_vld_kill_w2(lsu_ld3_spec_vld_kill_w2),
4968
                 .lsu_fwd_rply_sz1_unc  (lsu_fwd_rply_sz1_unc),
4969
                 .rst_tri_en            (mux_drive_disable),     // Templated
4970
                 .lsu_l2fill_data       (lsu_l2fill_data[63:0]),
4971
                 .l2fill_vld_m          (l2fill_vld_m),
4972
                 .ld_thrd_byp_sel_m     (ld_thrd_byp_mxsel_m[3:0])); // Templated
4973 113 albert.wat
`endif // !`ifdef FPGA_SYN_1THREAD
4974 95 fafa1971
 
4975
/*
4976
lsu_qdp2 AUTO_TEMPLATE (
4977
       .rst_tri_en              (mux_drive_disable),
4978
       .dfq_byp_ff_en          (lsu_dfq_byp_ff_en),
4979
       .dfq_rd_vld_d1          (lsu_dfq_rd_vld_d1),
4980
       .lsu_dfq_ld_vld         (lsu_qdp2_dfq_ld_vld),
4981
       .lsu_dfq_st_vld         (lsu_qdp2_dfq_st_vld),
4982
       .rclk                    (clk),
4983
       .lsu_ifill_pkt          (lsu_ifu_cpxpkt_i1[`CPX_VLD-1:0]),
4984
       .lsu_cpx_pkt_vld        ());
4985
*/
4986
 
4987
lsu_qdp2 qdp2  (
4988
                .so                     (so1),
4989
                .si                     (scan1_4),
4990 113 albert.wat
                .dfq_wdata              (dfq_wdata[`DFQ_WIDTH:0]),
4991 95 fafa1971
                /*AUTOINST*/
4992
                // Outputs
4993
                .lsu_l2fill_data        (lsu_l2fill_data[63:0]),
4994
                .dfq_tid                (dfq_tid[1:0]),
4995
                .lsu_dcache_fill_data_e (lsu_dcache_fill_data_e[143:0]),
4996 113 albert.wat
                .lsu_ifill_pkt          (lsu_ifu_cpxpkt_i1[`CPX_VLD-1:0]), // Templated
4997 95 fafa1971
                .lsu_pcx_fwd_pkt        (lsu_pcx_fwd_pkt[107:0]),
4998
                .lsu_cpx_pkt_strm_ack   (lsu_cpx_pkt_strm_ack),
4999
                .lsu_cpx_pkt_vld        (),                      // Templated
5000
                .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
5001
                .lsu_cpx_pkt_tid        (lsu_cpx_pkt_tid[1:0]),
5002
                .lsu_cpx_pkt_invwy      (lsu_cpx_pkt_invwy[1:0]),
5003
                .lsu_cpx_pkt_inv_pa     (lsu_cpx_pkt_inv_pa[4:0]),
5004
                .lsu_cpx_pkt_l2miss     (lsu_cpx_pkt_l2miss),
5005
                .lsu_dfq_byp_invwy_vld  (lsu_dfq_byp_invwy_vld),
5006
                .lsu_dfq_byp_type       (lsu_dfq_byp_type[5:0]),
5007
                .lsu_dfq_byp_flush      (lsu_dfq_byp_flush),
5008
                .lsu_dfq_byp_tid        (lsu_dfq_byp_tid[1:0]),
5009
                .lsu_cpu_inv_data_b13to9(lsu_cpu_inv_data_b13to9[13:9]),
5010
                .lsu_cpu_inv_data_b7to2 (lsu_cpu_inv_data_b7to2[7:2]),
5011
                .lsu_cpu_inv_data_b0    (lsu_cpu_inv_data_b0),
5012
                .lsu_iobrdge_wr_data    (lsu_iobrdge_wr_data[43:0]),
5013
                .lsu_iobrdge_tap_rq_type(lsu_iobrdge_tap_rq_type[8:0]),
5014
                .lsu_cpx_pkt_perror_dinv(lsu_cpx_pkt_perror_dinv),
5015
                .lsu_cpx_pkt_perror_iinv(lsu_cpx_pkt_perror_iinv),
5016
                .lsu_cpx_pkt_perror_set (lsu_cpx_pkt_perror_set[1:0]),
5017
                .lsu_cpx_pkt_ld_err     (lsu_cpx_pkt_ld_err[1:0]),
5018
                .lsu_dfq_byp_binit_st   (lsu_dfq_byp_binit_st),
5019
                .lsu_cpx_pkt_binit_st   (lsu_cpx_pkt_binit_st),
5020
                .lsu_cpx_pkt_prefetch   (lsu_cpx_pkt_prefetch),
5021
                .lsu_cpx_pkt_prefetch2  (lsu_cpx_pkt_prefetch2),
5022
                .lsu_dfq_byp_cpx_inv    (lsu_dfq_byp_cpx_inv),
5023
                .lsu_dfq_byp_stack_adr_b54(lsu_dfq_byp_stack_adr_b54[1:0]),
5024
                .lsu_dfq_byp_stack_wrway(lsu_dfq_byp_stack_wrway[1:0]),
5025
                .lsu_dfq_byp_atm        (lsu_dfq_byp_atm),
5026
                .dcache_iob_addr_e      (dcache_iob_addr_e[7:0]),
5027
                .st_dcfill_addr         (st_dcfill_addr[10:0]),
5028
                .lsu_st_way_e           (lsu_st_way_e[1:0]),
5029
                .lsu_dcache_iob_way_e   (lsu_dcache_iob_way_e[1:0]),
5030
                .lsu_st_dcfill_size_e   (lsu_st_dcfill_size_e[1:0]),
5031
                .lsu_cpx_pkt_ifill_type (lsu_cpx_pkt_ifill_type),
5032
                .lsu_cpx_pkt_atomic     (lsu_cpx_pkt_atomic),
5033
                // Inputs
5034
                .rst_tri_en             (mux_drive_disable),     // Templated
5035
                .rclk                   (clk),                   // Templated
5036
                .se                     (se),
5037
                .lsu_dfill_data_sel_hi  (lsu_dfill_data_sel_hi),
5038
                .dfq_byp_ff_en          (lsu_dfq_byp_ff_en),     // Templated
5039
                .dfq_rd_vld_d1          (lsu_dfq_rd_vld_d1),     // Templated
5040 113 albert.wat
                .dfq_rdata              (dfq_rdata[`DFQ_WIDTH:0]),
5041
                .cpx_spc_data_cx        (cpx_spc_data_cx[`CPX_WIDTH-1:0]),
5042 95 fafa1971
                .stb_rdata_ramd_buf     (stb_rdata_ramd_buf[69:0]),
5043
                .stb_rdata_ramd_b74_buf (stb_rdata_ramd_b74_buf),
5044
                .stb_rdata_ramc_buf     (stb_rdata_ramc_buf[14:9]),
5045
                .lsu_stb_pcx_rvld_d1    (lsu_stb_pcx_rvld_d1),
5046
                .lsu_diagnstc_wr_data_e (lsu_diagnstc_wr_data_e[63:0]),
5047
                .lsu_diagnstc_dc_prty_invrt_e(lsu_diagnstc_dc_prty_invrt_e[7:0]),
5048
                .mbist_write_data       (mbist_write_data[7:0]),
5049
                .cpx_fwd_pkt_en_cx      (cpx_fwd_pkt_en_cx),
5050
                .lsu_cpu_dcd_sel        (lsu_cpu_dcd_sel[7:0]),
5051
                .lsu_cpu_uhlf_sel       (lsu_cpu_uhlf_sel),
5052
                .lsu_cpxpkt_type_dcd_cx (lsu_cpxpkt_type_dcd_cx[5:0]),
5053
                .lsu_dc_iob_access_e    (lsu_dc_iob_access_e),
5054
                .lsu_dcfill_data_mx_sel_e(lsu_dcfill_data_mx_sel_e),
5055
                .lsu_cpx_spc_inv_vld    (lsu_cpx_spc_inv_vld),
5056
                .lsu_cpx_thrdid         (lsu_cpx_thrdid[3:0]),
5057
                .lsu_cpx_stack_dcfill_vld(lsu_cpx_stack_dcfill_vld),
5058
                .pcx_rq_for_stb_d1      (pcx_rq_for_stb_d1[3:0]),
5059
                .lsu_dfq_ld_vld         (lsu_qdp2_dfq_ld_vld),   // Templated
5060
                .lsu_dfq_st_vld         (lsu_qdp2_dfq_st_vld),   // Templated
5061
                .lsu_dfq_ldst_vld       (lsu_dfq_ldst_vld));
5062
 
5063
 
5064
/*
5065
bw_r_rf32x152b AUTO_TEMPLATE (
5066
                 .rst_tri_en           (mem_write_disable),
5067
                 .rclk                    (clk),
5068
                 .dout                  (dfq_rdata[151:0]),
5069
                 .wr_adr                (dfq_wptr[4:0]),
5070
                 .rd_adr                (dfq_rptr[4:0]),
5071
                 .wr_en                 (dfq_wptr_vld),
5072
                 .rd_en                 (dfq_rptr_vld),
5073
                 //.sehold                (),
5074
                 .reset_l               (arst_l));
5075
*/
5076
 
5077
bw_r_rf32x152b   dfq   (
5078
                 .din            ({dfq_wdata[151:131],
5079
                                   lsu_cpx_stack_dcfill_vld_b130,
5080
                                   dfq_wdata[129],
5081
                                   lsu_cpx_stack_icfill_vld,
5082
                                   dfq_wdata[127:0]}),
5083
                        .so             (short_so0),
5084
                        .si             (short_scan0_9),
5085
                 /*AUTOINST*/
5086
                        // Outputs
5087
                        .dout           (dfq_rdata[151:0]),      // Templated
5088
                        // Inputs
5089
                        .rd_adr         (dfq_rptr[4:0]),         // Templated
5090
                        .rd_en          (dfq_rptr_vld),          // Templated
5091
                        .wr_en          (dfq_wptr_vld),          // Templated
5092
                        .wr_adr         (dfq_wptr[4:0]),         // Templated
5093
                        .rclk           (clk),                   // Templated
5094
                        .reset_l        (arst_l),                // Templated
5095
                        .rst_tri_en     (mem_write_disable),     // Templated
5096
                        .sehold         (sehold),
5097
                        .se             (se));
5098
endmodule
5099
 
5100
// Local Variables:
5101
// verilog-library-directories:("." "../../../srams/rtl" "../../../common/rtl")
5102
// End:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.