OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [tools/] [src/] [build_dc.cmd] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 fafa1971
 
2
/* If you modify this file remember to run update_filelist so that filelist.dc gets updated!!! */
3
 
4
elaborate s1_top
5
link
6
uniquify
7
/* check_design */
8
 
9
create_clock -period 2.0 -name sys_clock_i find(port, "sys_clock_i")
10
set_input_delay  1 -max -clock sys_clock_i all_inputs() - find(port, "sys_clock_i")
11
set_output_delay 1 -max -clock sys_clock_i all_outputs()
12
 
13
compile -map_effort high
14
 
15
write -format db -hierarchy -output s1_top.db
16
write -format verilog -hierarchy -output s1_top.v
17
 
18
report_area > report_area.txt
19
report_timing > report_timing.txt
20
report_constraint -all_violators > report_constraint.txt
21
 
22
quit
23
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.