OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [tools/] [src/] [build_dc.cmd] - Blame information for rev 83

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 81 fafa1971
 
2 73 fafa1971
# The Tcl script under $S1_ROOT/tools/src/build_dc.cmd is attached at the end of the filelist for DC;
3
# if you modify this file *REMEMBER* to run 'update_filelist' or you'll run the old version!!!
4 7 fafa1971
 
5 82 fafa1971
# Technology-independent elaboration and linking
6
 
7
set active_design s1_top
8
elaborate $active_design
9
current_design $active_design
10 7 fafa1971
link
11
uniquify
12 73 fafa1971
check_design
13 7 fafa1971
 
14 82 fafa1971
# Constraints and mapping on target library
15 7 fafa1971
 
16 83 fafa1971
create_clock -period 5.0 -waveform [list 0 2.5] sys_clock_i
17
set_input_delay  2.0 -clock sys_clock_i -max [all_inputs]
18
set_output_delay 2.0 -clock sys_clock_i -max [all_outputs]
19 82 fafa1971
set_dont_touch_network [list sys_clock_i sys_reset_i]
20
set_drive 0 [list sys_clock_i sys_reset_i]
21
set_wire_load_mode enclosed
22
set_max_area 0
23
set_fix_multiple_port_nets -buffer_constants -all
24 73 fafa1971
compile
25
 
26 82 fafa1971
# Export the mapped design
27 73 fafa1971
 
28 82 fafa1971
remove_unconnected_ports [find -hierarchy cell {"*"}]
29
write -format ddc -hierarchy -output $active_design.ddc
30
write -format verilog -hierarchy -output $active_design.sv
31 73 fafa1971
 
32 82 fafa1971
# Report area and timing
33 73 fafa1971
 
34 82 fafa1971
report_area -hierarchy > report_area.rpt
35
report_timing > report_timing.rpt
36
report_constraint -all_violators > report_constraint.rpt
37 7 fafa1971
 
38
quit
39
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.