1 |
69 |
fafa1971 |
/* Defaults setup menu */
|
2 |
64 |
fafa1971 |
designer = "NISung"
|
3 |
|
|
company = "TSMC"
|
4 |
69 |
fafa1971 |
search_path = { ~ }
|
5 |
64 |
fafa1971 |
hdlin_translate_off_skip_text = TRUE
|
6 |
|
|
link_library= { }
|
7 |
69 |
fafa1971 |
target_library= { your_library.db }
|
8 |
64 |
fafa1971 |
symbol_library= { }
|
9 |
|
|
default_schematic_options = "-size infinite"
|
10 |
|
|
|
11 |
|
|
/* Site Specific Variables */
|
12 |
|
|
synthetic_library = {}
|
13 |
|
|
command_log_file = "./command.log"
|
14 |
|
|
view_command_log_file = "./view_command.log"
|
15 |
|
|
plot_command = "lpr -Plw"
|
16 |
|
|
text_print_command = "lpr -Plw"
|
17 |
|
|
|
18 |
|
|
/* enable Text Viewer feature */
|
19 |
|
|
hdlin_source_to_gates_mode = "high"
|
20 |
|
|
|
21 |
|
|
/* Synopsys to Compass EDIF interface */
|
22 |
|
|
edifin_ground_name = "VSS"
|
23 |
|
|
edifin_ground_net_name = "VSS"
|
24 |
|
|
edifin_ground_net_property_name = "global"
|
25 |
|
|
edifin_ground_net_property_value = "VSS"
|
26 |
|
|
edifin_ground_pin_name = "VSS"
|
27 |
|
|
edifin_ground_port_name = "VSS"
|
28 |
|
|
edifin_netlist_only = "true"
|
29 |
|
|
edifin_power_name = "VDD"
|
30 |
|
|
edifin_power_net_name = "VDD"
|
31 |
|
|
edifin_power_net_property_name = "global"
|
32 |
|
|
edifin_power_net_property_value = "VDD"
|
33 |
|
|
edifin_power_pin_name = "VDD"
|
34 |
|
|
edifin_power_port_name = "VDD"
|
35 |
|
|
edifin_power_and_ground_representation = "net"
|
36 |
|
|
edifout_ground_name = "VSS"
|
37 |
|
|
edifout_ground_net_name = "VSS"
|
38 |
|
|
edifout_ground_net_property_name = "global"
|
39 |
|
|
edifout_ground_net_property_value = "VSS"
|
40 |
|
|
edifout_ground_pin_name = "VSS"
|
41 |
|
|
edifout_ground_port_name = "VSS"
|
42 |
|
|
edifout_netlist_only = "true"
|
43 |
|
|
edifout_no_array = "true"
|
44 |
|
|
edifout_power_name = "VDD"
|
45 |
|
|
edifout_power_net_name = "VDD"
|
46 |
|
|
edifout_power_net_property_name = "global"
|
47 |
|
|
edifout_power_net_property_value = "VDD"
|
48 |
|
|
edifout_power_pin_name = "VDD"
|
49 |
|
|
edifout_power_port_name = "VDD"
|
50 |
|
|
edifout_power_and_ground_representation = "net"
|
51 |
|
|
write_name_nets_same_as_ports = "true"
|
52 |
|
|
compile_fix_multiple_port_nets = "true"
|
53 |
|
|
verilogout_no_tri = "true"
|
54 |
69 |
fafa1971 |
|
55 |
|
|
define_name_rules asic_top_rules \
|
56 |
|
|
-allowed "a-zA-Z0-9_()[]" \
|
57 |
|
|
-max_length 16 \
|
58 |
|
|
-reserved_words {"always", "and", "assign", "begin", "buf", "bufif0", \
|
59 |
|
|
"bufif1", "case", "casex", "casez", "cmos", "deassign", "default", \
|
60 |
|
|
"defparam", "disable", "edge", "else", "end", "endcase", "endfunction", \
|
61 |
|
|
"endmodule", "endprimitive", "endspecify", "endtable", "endtask", \
|
62 |
|
|
"event", "for", "force", "forever", "fork", "function", "highz0", \
|
63 |
|
|
"highz1", "if", "initial", "inout", "input", "integer", "join", "large", \
|
64 |
|
|
"macromodule", "medium", "module", "nand", "negedge", "nmos", "nor", \
|
65 |
|
|
"not", "notif0", "notif1", "or", "output", "pmos", "posedge", "primitive", \
|
66 |
|
|
"pull0", "pull1", "pulldown", "pullup", "rcmos", "reg", "release", "repeat", \
|
67 |
|
|
"rnmos", "rpmos", "rtran", "rtranif0", "rtranif1", "scalered", "small", \
|
68 |
|
|
"specify", "specparam", "strong0", "strong1", "supply0", "supply1", \
|
69 |
|
|
"table", "task", "time", "tran", "tranif0", "tranif1", "tri", "tri0", \
|
70 |
|
|
"tri1", "triand", "trior", "vectored", "wait", "wand", "weak0", "weak1", \
|
71 |
|
|
"while", "wire", "wor", "xnor", "xor", \
|
72 |
|
|
"abs", "access", "after", "alias", "all", "and", "architecture", "array", \
|
73 |
|
|
"assert", "attribute", "begin", "block", "body", "buffer", "bus", "case", \
|
74 |
|
|
"component", "configuration", "constant", "disconnect", "downto", "else", \
|
75 |
|
|
"elsif", "end", "entity", "exit", "file", "for", "function", "generate", \
|
76 |
|
|
"generic", "guarded", "if", "in", "inout", "is", "label", "library", \
|
77 |
|
|
"linkage", "loop", "map", "mod", "nand", "new", "next", "nor", "not", \
|
78 |
|
|
"null", "of", "on", "open", "or", "others", "out", "package", "port", \
|
79 |
|
|
"procedure", "process", "range", "record", "register", "rem", "report", \
|
80 |
|
|
"return", "select", "severity", "signal", "subtype", "then", "to", \
|
81 |
|
|
"transport", "type", "units", "until", "use", "variable", "wait", "when", \
|
82 |
|
|
"while", "with", "xor"} \
|
83 |
|
|
-case_insensitive \
|
84 |
|
|
-first_restricted "_" \
|
85 |
|
|
-last_restricted "_" \
|
86 |
|
|
-map {{"\*cell\*","U"},{"*-return","RET"}};
|
87 |
|
|
|
88 |
|
|
define_name_rules asic_core_rules \
|
89 |
|
|
-allowed "a-zA-Z0-9_()[]" \
|
90 |
|
|
-max_length 255 \
|
91 |
|
|
-reserved_words {"always", "and", "assign", "begin", "buf", "bufif0", \
|
92 |
|
|
"bufif1", "case", "casex", "casez", "cmos", "deassign", "default", \
|
93 |
|
|
"defparam", "disable", "edge", "else", "end", "endcase", "endfunction", \
|
94 |
|
|
"endmodule", "endprimitive", "endspecify", "endtable", "endtask", \
|
95 |
|
|
"event", "for", "force", "forever", "fork", "function", "highz0", \
|
96 |
|
|
"highz1", "if", "initial", "inout", "input", "integer", "join", "large", \
|
97 |
|
|
"macromodule", "medium", "module", "nand", "negedge", "nmos", "nor", \
|
98 |
|
|
"not", "notif0", "notif1", "or", "output", "pmos", "posedge", "primitive", \
|
99 |
|
|
"pull0", "pull1", "pulldown", "pullup", "rcmos", "reg", "release", "repeat", \
|
100 |
|
|
"rnmos", "rpmos", "rtran", "rtranif0", "rtranif1", "scalered", "small", \
|
101 |
|
|
"specify", "specparam", "strong0", "strong1", "supply0", "supply1", \
|
102 |
|
|
"table", "task", "time", "tran", "tranif0", "tranif1", "tri", "tri0", \
|
103 |
|
|
"tri1", "triand", "trior", "vectored", "wait", "wand", "weak0", "weak1", \
|
104 |
|
|
"while", "wire", "wor", "xnor", "xor", \
|
105 |
|
|
\
|
106 |
|
|
"abs", "access", "after", "alias", "all", "and", "architecture", "array", \
|
107 |
|
|
"assert", "attribute", "begin", "block", "body", "buffer", "bus", "case", \
|
108 |
|
|
"component", "configuration", "constant", "disconnect", "downto", "else", \
|
109 |
|
|
"elsif", "end", "entity", "exit", "file", "for", "function", "generate", \
|
110 |
|
|
"generic", "guarded", "if", "in", "inout", "is", "label", "library", \
|
111 |
|
|
"linkage", "loop", "map", "mod", "nand", "new", "next", "nor", "not", \
|
112 |
|
|
"null", "of", "on", "open", "or", "others", "out", "package", "port", \
|
113 |
|
|
"procedure", "process", "range", "record", "register", "rem", "report", \
|
114 |
|
|
"return", "select", "severity", "signal", "subtype", "then", "to", \
|
115 |
|
|
"transport", "type", "units", "until", "use", "variable", "wait", "when", \
|
116 |
|
|
"while", "with", "xor"} \
|
117 |
|
|
-case_insensitive \
|
118 |
|
|
-last_restricted "_" \
|
119 |
|
|
-first_restricted "_" \
|
120 |
|
|
-map {{"\*cell\*","U"},{"*-return","RET"}};
|
121 |
|
|
|
122 |
|
|
view_script_submenu_items = view_script_submenu_items + \
|
123 |
|
|
{"Apply Name Rules", "change_names -rules asic_core_rules -verbose \
|
124 |
|
|
-hierarchy; change_names -rules asic_top_rules -verbose" }
|
125 |
|
|
|