OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [fpga/] [VGA/] [VGARegisters.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
module VGARegisters(input logic clk,
19
                    input logic reset,
20
                    // Bus
21
                    input logic cs,
22
                    input logic [19:1] data_m_addr,
23
                    input logic [15:0] data_m_data_in,
24
                    output logic [15:0] data_m_data_out,
25
                    input logic [1:0] data_m_bytesel,
26
                    input logic data_m_wr_en,
27
                    input logic data_m_access,
28
                    output logic data_m_ack,
29
                    // VGA
30
                    input logic vga_vsync,
31
                    input logic vga_hsync,
32
                    output logic cursor_enabled,
33
                    output logic [14:0] cursor_pos,
34
                    output logic [2:0] cursor_scan_start,
35
                    output logic [2:0] cursor_scan_end);
36
 
37
wire reg_access = cs & data_m_access;
38
wire sel_index  = reg_access & data_m_addr[3:1] == 3'b010 & data_m_bytesel[0];
39
wire sel_value  = reg_access & data_m_addr[3:1] == 3'b010 & data_m_bytesel[1];
40
wire sel_mode   = reg_access & data_m_addr[3:1] == 3'b011 & data_m_bytesel[0];
41
wire sel_color  = reg_access & data_m_addr[3:1] == 3'b011 & data_m_bytesel[1];
42
wire sel_status = reg_access & data_m_addr[3:1] == 3'b101 & data_m_bytesel[0];
43
 
44
reg [3:0] active_index;
45
wire [3:0] index = data_m_wr_en & sel_index ? data_m_data_in[3:0] : active_index;
46
wire [7:0] index_value;
47
 
48
reg [1:0] cursor_mode;
49
 
50
wire [7:0] status = {7'b0, (~vga_vsync | ~vga_hsync)};
51
 
52
assign cursor_enabled = cursor_mode != 2'b01;
53
 
54
always_ff @(posedge clk) begin
55
    if (data_m_wr_en & sel_value) begin
56
        case (index)
57
        4'ha: {cursor_mode, cursor_scan_start} <=
58
            {data_m_data_in[13:12], data_m_data_in[10:8]};
59
        4'hb: cursor_scan_end <= data_m_data_in[10:8];
60
        4'he: cursor_pos[14:8] <= data_m_data_in[14:8];
61
        4'hf: cursor_pos[7:0] <= data_m_data_in[15:8];
62
        default: ;
63
        endcase
64
    end
65
end
66
 
67
always_ff @(posedge clk)
68
    if (sel_index & data_m_wr_en)
69
        active_index <= data_m_data_in[3:0];
70
 
71
always_comb begin
72
    case (index)
73
    4'ha: index_value = {2'b0, cursor_mode, 1'b0, cursor_scan_start};
74
    4'hb: index_value = {5'b0, cursor_scan_start};
75
    4'he: index_value = {2'b0, cursor_pos[13:8]};
76
    4'hf: index_value = cursor_pos[7:0];
77
    default: index_value = 8'b0;
78
    endcase
79
end
80
 
81
always_ff @(posedge clk) begin
82
    data_m_data_out <= 16'b0;
83
 
84
    if (!data_m_wr_en) begin
85
        if (sel_index)
86
            data_m_data_out[7:0] <= {4'b0, active_index};
87
        if (sel_mode)
88
            data_m_data_out[7:0] <= 8'b0;
89
        if (sel_status)
90
            data_m_data_out[7:0] <= status;
91
 
92
        if (sel_value)
93
            data_m_data_out[15:8] <= index_value;
94
        if (sel_color)
95
            data_m_data_out[15:8] <= 8'b0;
96
    end
97
end
98
 
99
always_ff @(posedge clk)
100
    data_m_ack <= data_m_access && cs;
101
 
102
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.