OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [fpga/] [VGA/] [VGASync.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
module VGASync(input logic clk,
19
               input logic reset,
20
               output logic vsync,
21
               output logic hsync,
22
               output logic is_blank,
23
               output logic [9:0] row,
24
               output logic [9:0] col);
25
 
26
reg [9:0] hcount;
27
reg [9:0] vcount;
28
 
29
localparam v_front_porch = 10'd10;
30
localparam v_back_porch = 10'd33;
31
localparam v_sync_count = 10'd2;
32
localparam v_lines = 10'd480;
33
localparam v_total = v_front_porch + v_back_porch + v_sync_count + v_lines;
34
 
35
localparam h_front_porch = 10'd16;
36
localparam h_back_porch = 10'd48;
37
localparam h_sync_count = 10'd96;
38
localparam h_lines = 10'd640;
39
localparam h_total = h_front_porch + h_back_porch + h_sync_count + h_lines;
40
 
41
wire v_blank = vcount < (v_sync_count + v_back_porch) ||
42
               vcount >= (v_sync_count + v_back_porch + v_lines);
43
wire h_blank = hcount < (h_sync_count + h_back_porch) ||
44
               hcount >= (h_sync_count + h_back_porch + h_lines);
45
assign is_blank = v_blank | h_blank;
46
 
47
assign vsync = ~(vcount < v_sync_count);
48
assign hsync = ~(hcount < h_sync_count);
49
 
50
assign row = vcount - (v_sync_count + v_back_porch);
51
assign col = hcount - (h_sync_count + h_back_porch);
52
 
53
always_ff @(posedge clk or posedge reset) begin
54
    if (reset) begin
55
        hcount <= 10'b0;
56
        vcount <= 10'b0;
57
    end else begin
58
        hcount <= hcount == h_total - 1'b1 ? 10'd0 : hcount + 1'b1;
59
        if (hcount == h_total - 1'b1)
60
            vcount <= vcount == v_total - 1'b1 ? 10'd0 : vcount + 1'b1;
61
    end
62
end
63
 
64
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.