OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [fpga/] [de0-cv/] [Top.qsf] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
# Copyright Jamie Iles, 2017
2
#
3
# This file is part of s80x86.
4
#
5
# s80x86 is free software: you can redistribute it and/or modify
6
# it under the terms of the GNU General Public License as published by
7
# the Free Software Foundation, either version 3 of the License, or
8
# (at your option) any later version.
9
#
10
# s80x86 is distributed in the hope that it will be useful,
11
# but WITHOUT ANY WARRANTY; without even the implied warranty of
12
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
# GNU General Public License for more details.
14
#
15
# You should have received a copy of the GNU General Public License
16
# along with s80x86.  If not, see .
17
 
18
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
19
 
20
set_global_assignment -name SLOW_SLEW_RATE Off
21
set_global_assignment -name TXPMA_SLEW_RATE Low
22
set_global_assignment -name SMART_RECOMPILE ON
23
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
24
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
25
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
26
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
27
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA
28
set_global_assignment -name OPTIMIZATION_TECHNIQUE SPEED
29
 
30
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS"
31
 
32
set_location_assignment PIN_M9 -to clk
33
set_location_assignment PIN_P22 -to rst_in_n
34
 
35
set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to "SysPLL:SysPLL|sys_clk"
36
set_instance_assignment -name MATCH_PLL_COMPENSATION_CLOCK ON -to "SysPLL:SysPLL|sdr_clk"
37
set_instance_assignment -name PLL_COMPENSATION_MODE NORMAL -to "SysPLL:SysPLL|*"
38
 
39
# SDRAM
40
set_location_assignment PIN_AB11 -to sdr_clk
41
set_location_assignment PIN_W8 -to s_addr[0]
42
set_location_assignment PIN_T8 -to s_addr[1]
43
set_location_assignment PIN_U11 -to s_addr[2]
44
set_location_assignment PIN_Y10 -to s_addr[3]
45
set_location_assignment PIN_N6 -to s_addr[4]
46
set_location_assignment PIN_AB10 -to s_addr[5]
47
set_location_assignment PIN_P12 -to s_addr[6]
48
set_location_assignment PIN_P7 -to s_addr[7]
49
set_location_assignment PIN_P8 -to s_addr[8]
50
set_location_assignment PIN_R5 -to s_addr[9]
51
set_location_assignment PIN_U8 -to s_addr[10]
52
set_location_assignment PIN_P6 -to s_addr[11]
53
set_location_assignment PIN_R7 -to s_addr[12]
54
set_location_assignment PIN_Y9 -to s_data[0]
55
set_location_assignment PIN_T10 -to s_data[1]
56
set_location_assignment PIN_R9 -to s_data[2]
57
set_location_assignment PIN_Y11 -to s_data[3]
58
set_location_assignment PIN_R10 -to s_data[4]
59
set_location_assignment PIN_R11 -to s_data[5]
60
set_location_assignment PIN_R12 -to s_data[6]
61
set_location_assignment PIN_AA12 -to s_data[7]
62
set_location_assignment PIN_AA9 -to s_data[8]
63
set_location_assignment PIN_AB8 -to s_data[9]
64
set_location_assignment PIN_AA8 -to s_data[10]
65
set_location_assignment PIN_AA7 -to s_data[11]
66
set_location_assignment PIN_V10 -to s_data[12]
67
set_location_assignment PIN_V9 -to s_data[13]
68
set_location_assignment PIN_U10 -to s_data[14]
69
set_location_assignment PIN_T9 -to s_data[15]
70
set_location_assignment PIN_U6 -to s_cs_n
71
set_location_assignment PIN_R6 -to s_clken
72
set_location_assignment PIN_V6 -to s_cas_n
73
set_location_assignment PIN_U12 -to s_bytesel[0]
74
set_location_assignment PIN_N8 -to s_bytesel[1]
75
set_location_assignment PIN_AB6 -to s_ras_n
76
set_location_assignment PIN_AB5 -to s_wr_en
77
set_location_assignment PIN_T7 -to s_banksel[0]
78
set_location_assignment PIN_AB7 -to s_banksel[1]
79
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to sdr_clk
80
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to s_ras_n
81
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to s_cas_n
82
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to s_wr_en
83
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to s_bytesel
84
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to s_data
85
set_instance_assignment -name FAST_INPUT_REGISTER ON -to s_data
86
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to s_banksel
87
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to s_*
88
 
89
# UART
90
set_location_assignment PIN_B16 -to uart_tx
91
set_location_assignment PIN_C16 -to uart_rx
92
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to uart_tx
93
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to uart_rx
94
 
95
# SPI
96
set_location_assignment PIN_B11 -to spi_mosi
97
set_location_assignment PIN_K9 -to spi_miso
98
set_location_assignment PIN_C11 -to spi_ncs
99
set_location_assignment PIN_H11 -to spi_sclk
100
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to spi_mosi
101
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to spi_ncs
102
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to spi_sclk
103
 
104
# VGA
105
set_location_assignment PIN_A9 -to vga_r[0]
106
set_location_assignment PIN_B10 -to vga_r[1]
107
set_location_assignment PIN_C9 -to vga_r[2]
108
set_location_assignment PIN_A5 -to vga_r[3]
109
set_location_assignment PIN_L7 -to vga_g[0]
110
set_location_assignment PIN_K7 -to vga_g[1]
111
set_location_assignment PIN_J7 -to vga_g[2]
112
set_location_assignment PIN_J8 -to vga_g[3]
113
set_location_assignment PIN_B6 -to vga_b[0]
114
set_location_assignment PIN_B7 -to vga_b[1]
115
set_location_assignment PIN_A8 -to vga_b[2]
116
set_location_assignment PIN_A7 -to vga_b[3]
117
set_location_assignment PIN_H8 -to vga_hsync
118
set_location_assignment PIN_G8 -to vga_vsync
119
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to vga*
120
 
121
# PS2
122
set_location_assignment PIN_D3 -to ps2_clk
123
set_location_assignment PIN_G2 -to ps2_dat
124
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ps2_clk
125
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to ps2_dat
126
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to ps2_clk
127
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to ps2_dat
128
 
129
# IO
130
set_location_assignment PIN_AA2 -to leds[0]
131
set_location_assignment PIN_AA1 -to leds[1]
132
set_location_assignment PIN_W2 -to leds[2]
133
set_location_assignment PIN_Y3 -to leds[3]
134
set_location_assignment PIN_N2 -to leds[4]
135
set_location_assignment PIN_N1 -to leds[5]
136
set_location_assignment PIN_U2 -to leds[6]
137
set_location_assignment PIN_U1 -to leds[7]
138
set_location_assignment PIN_L2 -to leds[8]
139
set_location_assignment PIN_L1 -to leds[9]
140
 
141
set_instance_assignment -name CURRENT_STRENGTH_NEW 2MA -to leds[*]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.