OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [fpga/] [leds/] [LEDSRegister.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
module LEDSRegister(input logic clk,
19
                    input logic reset,
20
                    output logic [`CONFIG_NUM_LEDS-1:0] leds_val,
21
                    input logic cs,
22
                    input logic [15:0] data_m_data_in,
23
                    input logic data_m_access,
24
                    output logic data_m_ack,
25
                    input logic data_m_wr_en,
26
                    input logic [1:0] data_m_bytesel);
27
 
28
always_ff @(posedge clk or posedge reset)
29
    if (reset)
30
        leds_val <= `CONFIG_NUM_LEDS'b0;
31
    else begin
32
        if (`CONFIG_NUM_LEDS > 8 && cs && data_m_access && data_m_bytesel[1]
33
            && data_m_wr_en)
34
            leds_val[`CONFIG_NUM_LEDS-1:8] <= data_m_data_in[`CONFIG_NUM_LEDS-1:8];
35
        if (cs && data_m_access && data_m_bytesel[0] && data_m_wr_en)
36
            leds_val[7:0] <= data_m_data_in[7:0];
37
    end
38
 
39
always_ff @(posedge clk)
40
    data_m_ack <= cs & data_m_access;
41
 
42
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.