OpenCores
URL https://opencores.org/ocsvn/sata_controller_core/sata_controller_core/trunk

Subversion Repositories sata_controller_core

[/] [sata_controller_core/] [trunk/] [sata2_bus_v1_00_a/] [base_system/] [pcores/] [sata_core_v1_00_a/] [hdl/] [verilog/] [mgt_usrclk_source_mmcm.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 ashwin_men
///////////////////////////////////////////////////////////////////////////////
2
//   ____  ____
3
//  /   /\/   / 
4
// /___/  \  /    Vendor: Xilinx 
5
// \   \   \/     Version : 1.8
6
//  \   \         Application :  Virtex-6 FPGA GTX Transceiver Wizard
7
//  /   /         Filename : mgt_usrclk_source_mmcm.v
8
// /___/   /\     Timestamp : 
9
// \   \  /  \ 
10
//  \___\/\___\ 
11
//
12
//
13
// Module MGT_USRCLK_SOURCE (for use with GTX Transceivers)
14
// Generated by Xilinx Virtex-6 FPGA GTX Transceiver Wizard
15
// 
16
// 
17
// (c) Copyright 2009-2010 Xilinx, Inc. All rights reserved.
18
// 
19
// This file contains confidential and proprietary information
20
// of Xilinx, Inc. and is protected under U.S. and
21
// international copyright and other intellectual property
22
// laws.
23
// 
24
// DISCLAIMER
25
// This disclaimer is not a license and does not grant any
26
// rights to the materials distributed herewith. Except as
27
// otherwise provided in a valid license issued to you by
28
// Xilinx, and to the maximum extent permitted by applicable
29
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
30
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
31
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
32
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
33
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
34
// (2) Xilinx shall not be liable (whether in contract or tort,
35
// including negligence, or under any other theory of
36
// liability) for any loss or damage of any kind or nature
37
// related to, arising under or in connection with these
38
// materials, including for any direct, or any indirect,
39
// special, incidental, or consequential loss or damage
40
// (including loss of data, profits, goodwill, or any type of
41
// loss or damage suffered as a result of any action brought
42
// by a third party) even if such damage or loss was
43
// reasonably foreseeable or Xilinx had been advised of the
44
// possibility of the same.
45
// 
46
// CRITICAL APPLICATIONS
47
// Xilinx products are not designed or intended to be fail-
48
// safe, or for use in any application requiring fail-safe
49
// performance, such as life-support or safety devices or
50
// systems, Class III medical devices, nuclear facilities,
51
// applications related to the deployment of airbags, or any
52
// other applications that could lead to death, personal
53
// injury, or severe property or environmental damage
54
// (individually and collectively, "Critical
55
// Applications"). Customer assumes the sole risk and
56
// liability of any use of Xilinx products in Critical
57
// Applications, subject only to applicable laws and
58
// regulations governing limitations on product liability.
59
// 
60
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
61
// PART OF THIS FILE AT ALL TIMES. 
62
 
63
 
64
`timescale 1ns / 1ps
65
 
66
//***********************************Entity Declaration*******************************
67
module MGT_USRCLK_SOURCE_MMCM #
68
(
69
    parameter   MULT            =   2,
70
    parameter   DIVIDE          =   2,
71
    parameter   CLK_PERIOD      =   6.4,
72
    parameter   OUT0_DIVIDE     =   2,
73
    parameter   OUT1_DIVIDE     =   2,
74
    parameter   OUT2_DIVIDE     =   2,
75
    parameter   OUT3_DIVIDE     =   2
76
)
77
(
78
    output          CLK0_OUT,
79
    output          CLK1_OUT,
80
    output          CLK2_OUT,
81
    output          CLK3_OUT,
82
    input           CLK_IN,
83
    output          MMCM_LOCKED_OUT,
84
    input           MMCM_RESET_IN
85
);
86
 
87
 
88
`define DLY #1
89
 
90
//*********************************Wire Declarations**********************************
91
 
92
    wire    [15:0]  tied_to_ground_vec_i;
93
    wire            tied_to_ground_i;
94
    wire            clkout0_i;
95
    wire            clkout1_i;
96
    wire            clkout2_i;
97
    wire            clkout3_i;
98
    wire            clkfbout_i;
99
 
100
//*********************************** Beginning of Code *******************************
101
 
102
    //  Static signal Assigments    
103
    assign tied_to_ground_i             = 1'b0;
104
    assign tied_to_ground_vec_i         = 16'h0000;
105
 
106
    // Instantiate a MMCM module to divide the reference clock. Uses internal feedback
107
    // for improved jitter performance, and to avoid consuming an additional BUFG
108
    MMCM_ADV #
109
    (
110
         .COMPENSATION      ("ZHOLD"),
111
         .CLKFBOUT_MULT_F   (MULT),
112
         .DIVCLK_DIVIDE     (DIVIDE),
113
         .CLKFBOUT_PHASE    (0),
114
 
115
         .CLKIN1_PERIOD     (CLK_PERIOD),
116
         .CLKIN2_PERIOD     (10),   //Not used
117
 
118
         .CLKOUT0_DIVIDE_F  (OUT0_DIVIDE),
119
         .CLKOUT0_PHASE     (0),
120
 
121
         .CLKOUT1_DIVIDE    (OUT1_DIVIDE),
122
         .CLKOUT1_PHASE     (0),
123
 
124
         .CLKOUT2_DIVIDE    (OUT2_DIVIDE),
125
         .CLKOUT2_PHASE     (0),
126
 
127
         .CLKOUT3_DIVIDE    (OUT3_DIVIDE),
128
         .CLKOUT3_PHASE     (0),
129
         .CLOCK_HOLD        ("TRUE")
130
    )
131
    mmcm_adv_i
132
    (
133
         .CLKIN1            (CLK_IN),
134
         .CLKIN2            (1'b0),
135
         .CLKINSEL          (1'b1),
136
         .CLKFBIN           (clkfbout_i),
137
         .CLKOUT0           (clkout0_i),
138
         .CLKOUT0B          (),
139
         .CLKOUT1           (clkout1_i),
140
         .CLKOUT1B          (),
141
         .CLKOUT2           (clkout2_i),
142
         .CLKOUT2B          (),
143
         .CLKOUT3           (clkout3_i),
144
         .CLKOUT3B          (),
145
         .CLKOUT4           (),
146
         .CLKOUT5           (),
147
         .CLKOUT6           (),
148
         .CLKFBOUT          (clkfbout_i),
149
         .CLKFBOUTB         (),
150
         .CLKFBSTOPPED      (),
151
         .CLKINSTOPPED      (),
152
         .DO                (),
153
         .DRDY              (),
154
         .DADDR             (7'd0),
155
         .DCLK              (1'b0),
156
         .DEN               (1'b0),
157
         .DI                (16'd0),
158
         .DWE               (1'b0),
159
         .LOCKED            (MMCM_LOCKED_OUT),
160
         .PSCLK             (1'b0),
161
         .PSEN              (1'b0),
162
         .PSINCDEC          (1'b0),
163
         .PSDONE            (),
164
         .PWRDWN            (1'b0),
165
         .RST               (MMCM_RESET_IN)
166
    );
167
 
168
    BUFG clkout0_bufg_i
169
    (
170
        .O              (CLK0_OUT),
171
        .I              (clkout0_i)
172
    );
173
 
174
 
175
    BUFG clkout1_bufg_i
176
    (
177
        .O              (CLK1_OUT),
178
        .I              (clkout1_i)
179
    );
180
 
181
 
182
    BUFG clkout2_bufg_i
183
    (
184
        .O              (CLK2_OUT),
185
        .I              (clkout2_i)
186
    );
187
 
188
 
189
    BUFG clkout3_bufg_i
190
    (
191
        .O              (CLK3_OUT),
192
        .I              (clkout3_i)
193
    );
194
 
195
endmodule
196
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.