OpenCores
URL https://opencores.org/ocsvn/sata_controller_core/sata_controller_core/trunk

Subversion Repositories sata_controller_core

[/] [sata_controller_core/] [trunk/] [sata2_bus_v1_00_a/] [base_system/] [pcores/] [sata_core_v1_00_a/] [hdl/] [verilog/] [sata_gtx_dual.v] - Blame information for rev 11

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 ashwin_men
///////////////////////////////////////////////////////////////////////////////
2
//   ____  ____ 
3
//  /   /\/   /
4
// /___/  \  /    Vendor: Xilinx
5
// \   \   \/     Version : 1.8
6
//  \   \         Application : Virtex-6 FPGA GTX Transceiver Wizard
7
//  /   /         Filename : sata_gtx_dual.v
8
// /___/   /\     
9
// \   \  /  \ 
10
//  \___\/\___\
11
//
12
//
13
// Module SATA_GTX_DUAL (a GTX Wrapper)
14
// Generated by Xilinx Virtex-6 FPGA GTX Transceiver Wizard
15
// 
16
// 
17
// (c) Copyright 2009-2010 Xilinx, Inc. All rights reserved.
18
// 
19
// This file contains confidential and proprietary information
20
// of Xilinx, Inc. and is protected under U.S. and
21
// international copyright and other intellectual property
22
// laws.
23
// 
24
// DISCLAIMER
25
// This disclaimer is not a license and does not grant any
26
// rights to the materials distributed herewith. Except as
27
// otherwise provided in a valid license issued to you by
28
// Xilinx, and to the maximum extent permitted by applicable
29
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
30
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
31
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
32
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
33
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
34
// (2) Xilinx shall not be liable (whether in contract or tort,
35
// including negligence, or under any other theory of
36
// liability) for any loss or damage of any kind or nature
37
// related to, arising under or in connection with these
38
// materials, including for any direct, or any indirect,
39
// special, incidental, or consequential loss or damage
40
// (including loss of data, profits, goodwill, or any type of
41
// loss or damage suffered as a result of any action brought
42
// by a third party) even if such damage or loss was
43
// reasonably foreseeable or Xilinx had been advised of the
44
// possibility of the same.
45
// 
46
// CRITICAL APPLICATIONS
47
// Xilinx products are not designed or intended to be fail-
48
// safe, or for use in any application requiring fail-safe
49
// performance, such as life-support or safety devices or
50
// systems, Class III medical devices, nuclear facilities,
51
// applications related to the deployment of airbags, or any
52
// other applications that could lead to death, personal
53
// injury, or severe property or environmental damage
54
// (individually and collectively, "Critical
55
// Applications"). Customer assumes the sole risk and
56
// liability of any use of Xilinx products in Critical
57
// Applications, subject only to applicable laws and
58
// regulations governing limitations on product liability.
59
// 
60
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
61
// PART OF THIS FILE AT ALL TIMES. 
62
 
63
 
64
`timescale 1ns / 1ps
65
 
66
 
67
//***************************** Entity Declaration ****************************
68
 
69
(* CORE_GENERATION_INFO = "SATA_PHY,v6_gtxwizard_v1_8,{protocol_file=sata2}" *)
70
module SATA_GTX_DUAL #
71
(
72
    // Simulation attributes
73
    parameter   WRAPPER_SIM_GTXRESET_SPEEDUP    = 0    // Set to 1 to speed up sim reset
74
)
75
(
76
 
77
    //_________________________________________________________________________
78
    //_________________________________________________________________________
79
    //GTX0  (X0Y4)
80
 
81
    //---------------------- Loopback and Powerdown Ports ----------------------
82
    input   [2:0]   GTX0_LOOPBACK_IN,
83
    //--------------------- Receive Ports - 8b10b Decoder ----------------------
84
    output  [3:0]   GTX0_RXCHARISK_OUT,
85
    output  [3:0]   GTX0_RXDISPERR_OUT,
86
    output  [3:0]   GTX0_RXNOTINTABLE_OUT,
87
    //----------------- Receive Ports - Clock Correction Ports -----------------
88
    output  [2:0]   GTX0_RXCLKCORCNT_OUT,
89
    //------------- Receive Ports - Comma Detection and Alignment --------------
90
    output          GTX0_RXBYTEISALIGNED_OUT,
91
    output          GTX0_RXBYTEREALIGN_OUT,
92
    input           GTX0_RXENMCOMMAALIGN_IN,
93
    input           GTX0_RXENPCOMMAALIGN_IN,
94
    //----------------- Receive Ports - RX Data Path interface -----------------
95
    output  [31:0]  GTX0_RXDATA_OUT,
96
    output          GTX0_RXRECCLK_OUT,
97
    input           GTX0_RXRESET_IN,
98
    input           GTX0_RXUSRCLK_IN,
99
    input           GTX0_RXUSRCLK2_IN,
100
    //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
101
    output          GTX0_RXELECIDLE_OUT,
102
    input   [2:0]   GTX0_RXEQMIX_IN,
103
    input           GTX0_RXN_IN,
104
    input           GTX0_RXP_IN,
105
    //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
106
    input           GTX0_RXBUFRESET_IN,
107
    output  [2:0]   GTX0_RXSTATUS_OUT,
108
    //---------------------- Receive Ports - RX PLL Ports ----------------------
109
    input           GTX0_GTXRXRESET_IN,
110
    input           GTX0_MGTREFCLKRX_IN,
111
    input           GTX0_PLLRXRESET_IN,
112
    output          GTX0_RXPLLLKDET_OUT,
113
    output          GTX0_RXRESETDONE_OUT,
114
    //------------------- Receive Ports - RX Ports for SATA --------------------
115
    output          GTX0_COMINITDET_OUT,
116
    output          GTX0_COMWAKEDET_OUT,
117
    // -------------- Speed Neg Module ports ------------------------
118
    input [6:0]    DADDR,       //DRP address                     
119
    input          DEN,         //DRP enable
120
    input [15:0]   DI,           //DRP data in
121
    output[15:0]   DO,           //DRP data out
122
    output         DRDY,        //DRP ready
123
    input          DWE,         //DRP write enable
124
    input          DCLK,
125
 
126
    //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
127
    input   [3:0]   GTX0_TXCHARISK_IN,
128
    //---------------- Transmit Ports - TX Data Path interface -----------------
129
    input   [31:0]  GTX0_TXDATA_IN,
130
    output          GTX0_TXOUTCLK_OUT,
131
    input           GTX0_TXRESET_IN,
132
    input           GTX0_TXUSRCLK_IN,
133
    input           GTX0_TXUSRCLK2_IN,
134
    //-------------- Transmit Ports - TX Driver and OOB signaling --------------
135
    input   [3:0]   GTX0_TXDIFFCTRL_IN,
136
    output          GTX0_TXN_OUT,
137
    output          GTX0_TXP_OUT,
138
    input   [4:0]   GTX0_TXPOSTEMPHASIS_IN,
139
    //------------- Transmit Ports - TX Driver and OOB signalling --------------
140
    input   [3:0]   GTX0_TXPREEMPHASIS_IN,
141
    //--------------------- Transmit Ports - TX PLL Ports ----------------------
142
    input           GTX0_GTXTXRESET_IN,
143
    output          GTX0_TXRESETDONE_OUT,
144
    //--------------- Transmit Ports - TX Ports for PCI Express ----------------
145
    input           GTX0_TXELECIDLE_IN,
146
    //------------------- Transmit Ports - TX Ports for SATA -------------------
147
    output          GTX0_COMFINISH_OUT,
148
    input           GTX0_TXCOMINIT_IN,
149
    input           GTX0_TXCOMWAKE_IN,
150
 
151
 
152
 
153
    //_________________________________________________________________________
154
    //_________________________________________________________________________
155
    //GTX1  (X0Y5)
156
 
157
    //---------------------- Loopback and Powerdown Ports ----------------------
158
    input   [2:0]   GTX1_LOOPBACK_IN,
159
    //--------------------- Receive Ports - 8b10b Decoder ----------------------
160
    output  [3:0]   GTX1_RXDISPERR_OUT,
161
    output  [3:0]   GTX1_RXNOTINTABLE_OUT,
162
    //----------------- Receive Ports - Clock Correction Ports -----------------
163
    output  [2:0]   GTX1_RXCLKCORCNT_OUT,
164
    //------------- Receive Ports - Comma Detection and Alignment --------------
165
    output          GTX1_RXBYTEISALIGNED_OUT,
166
    output          GTX1_RXBYTEREALIGN_OUT,
167
    input           GTX1_RXENMCOMMAALIGN_IN,
168
    input           GTX1_RXENPCOMMAALIGN_IN,
169
    //----------------- Receive Ports - RX Data Path interface -----------------
170
    output  [31:0]  GTX1_RXDATA_OUT,
171
    output          GTX1_RXRECCLK_OUT,
172
    input           GTX1_RXRESET_IN,
173
    input           GTX1_RXUSRCLK_IN,
174
    input           GTX1_RXUSRCLK2_IN,
175
    //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
176
    output          GTX1_RXELECIDLE_OUT,
177
    input   [2:0]   GTX1_RXEQMIX_IN,
178
    input           GTX1_RXN_IN,
179
    input           GTX1_RXP_IN,
180
    //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
181
    input           GTX1_RXBUFRESET_IN,
182
    output  [2:0]   GTX1_RXSTATUS_OUT,
183
    //---------------------- Receive Ports - RX PLL Ports ----------------------
184
    input           GTX1_GTXRXRESET_IN,
185
    input           GTX1_MGTREFCLKRX_IN,
186
    input           GTX1_PLLRXRESET_IN,
187
    output          GTX1_RXPLLLKDET_OUT,
188
    output          GTX1_RXRESETDONE_OUT,
189
    //------------------- Receive Ports - RX Ports for SATA --------------------
190
    output          GTX1_COMINITDET_OUT,
191
    output          GTX1_COMWAKEDET_OUT,
192
    //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
193
    input   [3:0]   GTX1_TXCHARISK_IN,
194
    //---------------- Transmit Ports - TX Data Path interface -----------------
195
    input   [31:0]  GTX1_TXDATA_IN,
196
    output          GTX1_TXOUTCLK_OUT,
197
    input           GTX1_TXRESET_IN,
198
    input           GTX1_TXUSRCLK_IN,
199
    input           GTX1_TXUSRCLK2_IN,
200
    //-------------- Transmit Ports - TX Driver and OOB signaling --------------
201
    input   [3:0]   GTX1_TXDIFFCTRL_IN,
202
    output          GTX1_TXN_OUT,
203
    output          GTX1_TXP_OUT,
204
    input   [4:0]   GTX1_TXPOSTEMPHASIS_IN,
205
    //------------- Transmit Ports - TX Driver and OOB signalling --------------
206
    input   [3:0]   GTX1_TXPREEMPHASIS_IN,
207
    //--------------------- Transmit Ports - TX PLL Ports ----------------------
208
    input           GTX1_GTXTXRESET_IN,
209
    output          GTX1_TXRESETDONE_OUT,
210
    //--------------- Transmit Ports - TX Ports for PCI Express ----------------
211
    input           GTX1_TXELECIDLE_IN,
212
    //------------------- Transmit Ports - TX Ports for SATA -------------------
213
    output          GTX1_COMFINISH_OUT,
214
    input           GTX1_TXCOMINIT_IN,
215
    input           GTX1_TXCOMWAKE_IN
216
 
217
 
218
);
219
 
220
//***************************** Wire Declarations *****************************
221
 
222
    // ground and vcc signals
223
    wire            tied_to_ground_i;
224
    wire    [63:0]  tied_to_ground_vec_i;
225
    wire            tied_to_vcc_i;
226
    wire    [63:0]  tied_to_vcc_vec_i;
227
 
228
//********************************* Main Body of Code**************************
229
 
230
    assign tied_to_ground_i             = 1'b0;
231
    assign tied_to_ground_vec_i         = 64'h0000000000000000;
232
    assign tied_to_vcc_i                = 1'b1;
233
    assign tied_to_vcc_vec_i            = 64'hffffffffffffffff;
234
 
235
 
236
//------------------------- GTX Instances  -------------------------------
237
 
238
 
239
 
240
    //_________________________________________________________________________
241
    //_________________________________________________________________________
242
    //GTX0  (X0Y4)
243
 
244
    SATA_GTX #
245
    (
246
        // Simulation attributes
247
        .GTX_SIM_GTXRESET_SPEEDUP   (WRAPPER_SIM_GTXRESET_SPEEDUP),
248
 
249
        // Share RX PLL parameter
250
        .GTX_TX_CLK_SOURCE           ("RXPLL"),
251
        // Save power parameter
252
        .GTX_POWER_SAVE              (10'b0000110100)
253
    )
254
    gtx0_sata_i
255
    (
256
        //---------------------- Loopback and Powerdown Ports ----------------------
257
        .LOOPBACK_IN                    (GTX0_LOOPBACK_IN),
258
        //--------------------- Receive Ports - 8b10b Decoder ----------------------
259
        .RXCHARISK_OUT                  (GTX0_RXCHARISK_OUT),
260
        .RXDISPERR_OUT                  (GTX0_RXDISPERR_OUT),
261
        .RXNOTINTABLE_OUT               (GTX0_RXNOTINTABLE_OUT),
262
        //----------------- Receive Ports - Clock Correction Ports -----------------
263
        .RXCLKCORCNT_OUT                (GTX0_RXCLKCORCNT_OUT),
264
        //------------- Receive Ports - Comma Detection and Alignment --------------
265
        .RXBYTEISALIGNED_OUT            (GTX0_RXBYTEISALIGNED_OUT),
266
        .RXBYTEREALIGN_OUT              (GTX0_RXBYTEREALIGN_OUT),
267
        .RXENMCOMMAALIGN_IN             (GTX0_RXENMCOMMAALIGN_IN),
268
        .RXENPCOMMAALIGN_IN             (GTX0_RXENPCOMMAALIGN_IN),
269
        //----------------- Receive Ports - RX Data Path interface -----------------
270
        .RXDATA_OUT                     (GTX0_RXDATA_OUT),
271
        .RXRECCLK_OUT                   (GTX0_RXRECCLK_OUT),
272
        .RXRESET_IN                     (GTX0_RXRESET_IN),
273
        .RXUSRCLK_IN                    (GTX0_RXUSRCLK_IN),
274
        .RXUSRCLK2_IN                   (GTX0_RXUSRCLK2_IN),
275
        //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
276
        .RXELECIDLE_OUT                 (GTX0_RXELECIDLE_OUT),
277
        .RXEQMIX_IN                     (GTX0_RXEQMIX_IN),
278
        .RXN_IN                         (GTX0_RXN_IN),
279
        .RXP_IN                         (GTX0_RXP_IN),
280
        //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
281
        .RXBUFRESET_IN                  (GTX0_RXBUFRESET_IN),
282
        .RXSTATUS_OUT                   (GTX0_RXSTATUS_OUT),
283
        //---------------------- Receive Ports - RX PLL Ports ----------------------
284
        .GTXRXRESET_IN                  (GTX0_GTXRXRESET_IN),
285
        .MGTREFCLKRX_IN                 ({tied_to_ground_i , GTX0_MGTREFCLKRX_IN}),
286
        .PLLRXRESET_IN                  (GTX0_PLLRXRESET_IN),
287
        .RXPLLLKDET_OUT                 (GTX0_RXPLLLKDET_OUT),
288
        .RXRESETDONE_OUT                (GTX0_RXRESETDONE_OUT),
289
        //------------------- Receive Ports - RX Ports for SATA --------------------
290
        .COMINITDET_OUT                 (GTX0_COMINITDET_OUT),
291
        .COMWAKEDET_OUT                 (GTX0_COMWAKEDET_OUT),
292
        //----------- Shared Ports - Dynamic Reconfiguration Port (DRP) ------------
293
        .DADDR                          (DADDR),
294
        .DCLK                           (DCLK),
295
        .DEN                            (DEN),
296
        .DI                             (DI),
297
        .DRDY                           (DRDY),
298
        .DO                             (DO),
299
        .DWE                            (DWE),
300
        //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
301
        .TXCHARISK_IN                   (GTX0_TXCHARISK_IN),
302
        //---------------- Transmit Ports - TX Data Path interface -----------------
303
        .TXDATA_IN                      (GTX0_TXDATA_IN),
304
        .TXOUTCLK_OUT                   (GTX0_TXOUTCLK_OUT),
305
        .TXRESET_IN                     (GTX0_TXRESET_IN),
306
        .TXUSRCLK_IN                    (GTX0_TXUSRCLK_IN),
307
        .TXUSRCLK2_IN                   (GTX0_TXUSRCLK2_IN),
308
        //-------------- Transmit Ports - TX Driver and OOB signaling --------------
309
        .TXDIFFCTRL_IN                  (GTX0_TXDIFFCTRL_IN),
310
        .TXN_OUT                        (GTX0_TXN_OUT),
311
        .TXP_OUT                        (GTX0_TXP_OUT),
312
        .TXPOSTEMPHASIS_IN              (GTX0_TXPOSTEMPHASIS_IN),
313
        //------------- Transmit Ports - TX Driver and OOB signalling --------------
314
        .TXPREEMPHASIS_IN               (GTX0_TXPREEMPHASIS_IN),
315
        //--------------------- Transmit Ports - TX PLL Ports ----------------------
316
        .GTXTXRESET_IN                  (GTX0_GTXTXRESET_IN),
317
        .MGTREFCLKTX_IN                 ({tied_to_ground_i , GTX0_MGTREFCLKRX_IN}),
318
        .PLLTXRESET_IN                  (tied_to_ground_i),
319
        .TXPLLLKDET_OUT                 (),
320
        .TXRESETDONE_OUT                (GTX0_TXRESETDONE_OUT),
321
        //--------------- Transmit Ports - TX Ports for PCI Express ----------------
322
        .TXELECIDLE_IN                  (GTX0_TXELECIDLE_IN),
323
        //------------------- Transmit Ports - TX Ports for SATA -------------------
324
        .COMFINISH_OUT                  (GTX0_COMFINISH_OUT),
325
        .TXCOMINIT_IN                   (GTX0_TXCOMINIT_IN),
326
        .TXCOMWAKE_IN                   (GTX0_TXCOMWAKE_IN)
327
 
328
    );
329
 
330
 
331
 
332
    //_________________________________________________________________________
333
    //_________________________________________________________________________
334
    //GTX1  (X0Y5)
335
 
336
    SATA_GTX #
337
    (
338
        // Simulation attributes
339
        .GTX_SIM_GTXRESET_SPEEDUP   (WRAPPER_SIM_GTXRESET_SPEEDUP),
340
 
341
        // Share RX PLL parameter
342
        .GTX_TX_CLK_SOURCE           ("RXPLL"),
343
        // Save power parameter
344
        .GTX_POWER_SAVE              (10'b0000110100)
345
    )
346
    gtx1_sata_i
347
    (
348
        //---------------------- Loopback and Powerdown Ports ----------------------
349
        .LOOPBACK_IN                    (GTX1_LOOPBACK_IN),
350
        //--------------------- Receive Ports - 8b10b Decoder ----------------------
351
        .RXDISPERR_OUT                  (GTX1_RXDISPERR_OUT),
352
        .RXNOTINTABLE_OUT               (GTX1_RXNOTINTABLE_OUT),
353
        //----------------- Receive Ports - Clock Correction Ports -----------------
354
        .RXCLKCORCNT_OUT                (GTX1_RXCLKCORCNT_OUT),
355
        //------------- Receive Ports - Comma Detection and Alignment --------------
356
        .RXBYTEISALIGNED_OUT            (GTX1_RXBYTEISALIGNED_OUT),
357
        .RXBYTEREALIGN_OUT              (GTX1_RXBYTEREALIGN_OUT),
358
        .RXENMCOMMAALIGN_IN             (GTX1_RXENMCOMMAALIGN_IN),
359
        .RXENPCOMMAALIGN_IN             (GTX1_RXENPCOMMAALIGN_IN),
360
        //----------------- Receive Ports - RX Data Path interface -----------------
361
        .RXDATA_OUT                     (GTX1_RXDATA_OUT),
362
        .RXRECCLK_OUT                   (GTX1_RXRECCLK_OUT),
363
        .RXRESET_IN                     (GTX1_RXRESET_IN),
364
        .RXUSRCLK_IN                    (GTX1_RXUSRCLK_IN),
365
        .RXUSRCLK2_IN                   (GTX1_RXUSRCLK2_IN),
366
        //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
367
        .RXELECIDLE_OUT                 (GTX1_RXELECIDLE_OUT),
368
        .RXEQMIX_IN                     (GTX1_RXEQMIX_IN),
369
        .RXN_IN                         (GTX1_RXN_IN),
370
        .RXP_IN                         (GTX1_RXP_IN),
371
        //------ Receive Ports - RX Elastic Buffer and Phase Alignment Ports -------
372
        .RXBUFRESET_IN                  (GTX1_RXBUFRESET_IN),
373
        .RXSTATUS_OUT                   (GTX1_RXSTATUS_OUT),
374
        //---------------------- Receive Ports - RX PLL Ports ----------------------
375
        .GTXRXRESET_IN                  (GTX1_GTXRXRESET_IN),
376
        .MGTREFCLKRX_IN                 ({tied_to_ground_i , GTX1_MGTREFCLKRX_IN}),
377
        .PLLRXRESET_IN                  (GTX1_PLLRXRESET_IN),
378
        .RXPLLLKDET_OUT                 (GTX1_RXPLLLKDET_OUT),
379
        .RXRESETDONE_OUT                (GTX1_RXRESETDONE_OUT),
380
        //------------------- Receive Ports - RX Ports for SATA --------------------
381
        .COMINITDET_OUT                 (GTX1_COMINITDET_OUT),
382
        .COMWAKEDET_OUT                 (GTX1_COMWAKEDET_OUT),
383
        //-------------- Transmit Ports - 8b10b Encoder Control Ports --------------
384
        .TXCHARISK_IN                   (GTX1_TXCHARISK_IN),
385
        //---------------- Transmit Ports - TX Data Path interface -----------------
386
        .TXDATA_IN                      (GTX1_TXDATA_IN),
387
        .TXOUTCLK_OUT                   (GTX1_TXOUTCLK_OUT),
388
        .TXRESET_IN                     (GTX1_TXRESET_IN),
389
        .TXUSRCLK_IN                    (GTX1_TXUSRCLK_IN),
390
        .TXUSRCLK2_IN                   (GTX1_TXUSRCLK2_IN),
391
        //-------------- Transmit Ports - TX Driver and OOB signaling --------------
392
        .TXDIFFCTRL_IN                  (GTX1_TXDIFFCTRL_IN),
393
        .TXN_OUT                        (GTX1_TXN_OUT),
394
        .TXP_OUT                        (GTX1_TXP_OUT),
395
        .TXPOSTEMPHASIS_IN              (GTX1_TXPOSTEMPHASIS_IN),
396
        //------------- Transmit Ports - TX Driver and OOB signalling --------------
397
        .TXPREEMPHASIS_IN               (GTX1_TXPREEMPHASIS_IN),
398
        //--------------------- Transmit Ports - TX PLL Ports ----------------------
399
        .GTXTXRESET_IN                  (GTX1_GTXTXRESET_IN),
400
        .MGTREFCLKTX_IN                 ({tied_to_ground_i , GTX1_MGTREFCLKRX_IN}),
401
        .PLLTXRESET_IN                  (tied_to_ground_i),
402
        .TXPLLLKDET_OUT                 (),
403
        .TXRESETDONE_OUT                (GTX1_TXRESETDONE_OUT),
404
        //--------------- Transmit Ports - TX Ports for PCI Express ----------------
405
        .TXELECIDLE_IN                  (GTX1_TXELECIDLE_IN),
406
        //------------------- Transmit Ports - TX Ports for SATA -------------------
407
        .COMFINISH_OUT                  (GTX1_COMFINISH_OUT),
408
        .TXCOMINIT_IN                   (GTX1_TXCOMINIT_IN),
409
        .TXCOMWAKE_IN                   (GTX1_TXCOMWAKE_IN)
410
 
411
    );
412
 
413
 
414
 
415
endmodule
416
 
417
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.