OpenCores
URL https://opencores.org/ocsvn/sata_phy/sata_phy/trunk

Subversion Repositories sata_phy

[/] [sata_phy/] [trunk/] [hdl/] [sata_phy_top_x6series_tb.v] - Blame information for rev 14

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 14 beandigita
////////////////////////////////////////////////////////////
2
//
3
// This confidential and proprietary software may be used
4
// only as authorized by a licensing agreement from
5
// Bean Digital Ltd
6
// In the event of publication, the following notice is
7
// applicable:
8
//
9
// (C)COPYRIGHT 2012 BEAN DIGITAL LTD.
10
// ALL RIGHTS RESERVED
11
//
12
// The entire notice above must be reproduced on all
13
// authorized copies.
14
//
15
// File        : sata_phy_top_x6series_tb.v
16
// Author      : J.Bean
17
// Date        : Mar 2012
18
// Description : SATA PHY Layer Top Xilinx 6 Series TB
19
////////////////////////////////////////////////////////////
20
 
21
`resetall
22
`timescale 1ns/10ps
23
 
24
`include "sata_constants.v"
25
 
26
module sata_phy_top_x6series_tb;
27
 
28
reg         gt_clk;
29
wire        clk_ref;
30
wire        clk_sata;
31
wire        clk_phy;
32
wire        clk_gt;
33
wire        rst_n;
34
reg  [5:0]  rst_cnt;
35
 
36
wire [1:0]  host_gt_clk_ref;
37
wire        host_gt_plllkdet;
38
wire        host_gt_rst_done;
39
wire [15:0] host_gt_rx_data;
40
wire [1:0]  host_gt_rx_charisk;
41
wire [1:0]  host_gt_rx_disp_err;
42
wire [1:0]  host_gt_rx_8b10b_err;
43
wire        host_gt_rx_elec_idle;
44
wire [2:0]  host_gt_rx_status;
45
wire [15:0] host_gt_tx_data;
46
wire [1:0]  host_gt_tx_charisk;
47
wire        host_gt_tx_elec_idle;
48
wire        host_gt_tx_com_strt;
49
wire        host_gt_tx_com_type;
50
wire        host_gt_rx_p;
51
wire        host_gt_rx_n;
52
wire        host_gt_tx_p;
53
wire        host_gt_tx_n;
54
 
55
wire [1:0]  dev_gt_clk_ref;
56
wire        dev_gt_plllkdet;
57
wire        dev_gt_rst_done;
58
wire [15:0] dev_gt_rx_data;
59
wire [1:0]  dev_gt_rx_charisk;
60
wire [1:0]  dev_gt_rx_disp_err;
61
wire [1:0]  dev_gt_rx_8b10b_err;
62
wire        dev_gt_rx_elec_idle;
63
wire [2:0]  dev_gt_rx_status;
64
wire [15:0] dev_gt_tx_data;
65
wire [1:0]  dev_gt_tx_charisk;
66
wire        dev_gt_tx_elec_idle;
67
wire        dev_gt_tx_com_strt;
68
wire        dev_gt_tx_com_type;
69
wire        dev_gt_rx_p;
70
wire        dev_gt_rx_n;
71
wire        dev_gt_tx_p;
72
wire        dev_gt_tx_n;
73
 
74
assign host_gt_rx_p = dev_gt_tx_p;
75
assign host_gt_rx_n = dev_gt_tx_n;
76
assign dev_gt_rx_p  = host_gt_tx_p;
77
assign dev_gt_rx_n  = host_gt_tx_n;
78
 
79
initial
80
begin
81
  gt_clk = 0;
82
 
83
  forever begin
84
    #(6.66/2.0) gt_clk = ~gt_clk; // 150 MHz
85
  end
86
end
87
 
88
////////////////////////////////////////////////////////////
89
// Instance    : 
90
// Description : 
91
////////////////////////////////////////////////////////////
92
 
93
PLL_BASE #(
94
  .BANDWIDTH             ("OPTIMIZED"),
95
  .CLKFBOUT_MULT         (5),
96
  .CLKFBOUT_PHASE        (0.0),
97
  .CLKIN_PERIOD          (6.66),
98
  .CLKOUT0_DIVIDE        (20),
99
  .CLKOUT1_DIVIDE        (10),
100
  .CLKOUT2_DIVIDE        (5),
101
  .CLKOUT3_DIVIDE        (1),
102
  .CLKOUT4_DIVIDE        (1),
103
  .CLKOUT5_DIVIDE        (1),
104
  .CLKOUT0_PHASE         (0.0),
105
  .CLKOUT1_PHASE         (0.0),
106
  .CLKOUT2_PHASE         (0.0),
107
  .CLKOUT3_PHASE         (0.0),
108
  .CLKOUT4_PHASE         (0.0),
109
  .CLKOUT5_PHASE         (0.0),
110
  .CLKOUT0_DUTY_CYCLE    (0.500),
111
  .CLKOUT1_DUTY_CYCLE    (0.500),
112
  .CLKOUT2_DUTY_CYCLE    (0.500),
113
  .CLKOUT3_DUTY_CYCLE    (0.500),
114
  .CLKOUT4_DUTY_CYCLE    (0.500),
115
  .CLKOUT5_DUTY_CYCLE    (0.500),
116
  .CLK_FEEDBACK          ("CLKFBOUT"),
117
  .COMPENSATION          ("SYSTEM_SYNCHRONOUS"),
118
  .DIVCLK_DIVIDE         (1),
119
  .REF_JITTER            (0.000200),
120
  .RESET_ON_LOSS_OF_LOCK ("FALSE"))
121
  U_pll (
122
  .CLKFBOUT              (pll_clkfb),
123
  .CLKOUT0               (pll_clk0),
124
  .CLKOUT1               (pll_clk1),
125
  .CLKOUT2               (pll_clk2),
126
  .CLKOUT3               (pll_clk3),
127
  .CLKOUT4               (pll_clk4),
128
  .CLKOUT5               (pll_clk5),
129
  .LOCKED                (pll_locked),
130
  .CLKFBIN               (pll_clkfb),
131
  .CLKIN                 (clkin),
132
  .RST                   (~host_gt_plllkdet));
133
 
134
BUFG U_pll_clk0_bufg(
135
  .O (clk_sata),
136
  .I (pll_clk0));
137
 
138
BUFG U_pll_clk1_bufg(
139
  .O (clk_phy),
140
  .I (pll_clk1));
141
 
142
BUFG U_pll_clk2_bufg(
143
  .O (clk_gt),
144
  .I (pll_clk2));
145
 
146
assign pll_locked_n = ~pll_locked;
147
 
148
assign rst_n = (rst_cnt == 16);
149
 
150
always @(posedge clk_sata or posedge pll_locked_n)
151
begin
152
  if (pll_locked_n == 1) begin
153
    rst_cnt <= 0;
154
  end else begin
155
    if (rst_cnt != 16) begin
156
      rst_cnt <= rst_cnt + 1;
157
    end
158
  end
159
end
160
 
161
////////////////////////////////////////////////////////////
162
// Instance    : 
163
// Description : 
164
////////////////////////////////////////////////////////////
165
 
166
BUFIO2 #(
167
  .DIVIDE        (1),
168
  .DIVIDE_BYPASS ("TRUE"))
169
  U_refclk_bufg(
170
  .I             (host_gt_clk_ref[0]),
171
  .DIVCLK        (clkin),
172
  .IOCLK         (),
173
  .SERDESSTROBE  ());
174
 
175
////////////////////////////////////////////////////////////
176
// Instance    : SATA Host PHY
177
// Description : 
178
////////////////////////////////////////////////////////////
179
 
180
sata_phy_top_x6series #(
181
  .IS_HOST           (1),
182
  .SATA_REV          (1))
183
  U_sata_host(
184
  .clk               (clk_sata),
185
  .clk_phy           (clk_phy),
186
  .rst_n             (rst_n),
187
  .lnk_tx_tdata_i    (`SYNC_VAL),
188
  .lnk_tx_tvalid_i   (1'b1),
189
  .lnk_tx_tready_o   (),
190
  .lnk_tx_tuser_i    (4'b0001),
191
  .lnk_rx_tdata_o    (),
192
  .lnk_rx_tvalid_o   (),
193
  .lnk_rx_tready_i   (1'b1),
194
  .lnk_rx_tuser_o    (),
195
  .phy_status_o      (),
196
  .gt_rst_done_i     (host_gt_rst_done),
197
  .gt_rx_data_i      (host_gt_rx_data),
198
  .gt_rx_charisk_i   (host_gt_rx_charisk),
199
  .gt_rx_disp_err_i  (host_gt_rx_disp_err),
200
  .gt_rx_8b10b_err_i (host_gt_rx_8b10b_err),
201
  .gt_rx_elec_idle_i (host_gt_rx_elec_idle),
202
  .gt_rx_status_i    (host_gt_rx_status),
203
  .gt_tx_data_o      (host_gt_tx_data),
204
  .gt_tx_charisk_o   (host_gt_tx_charisk),
205
  .gt_tx_elec_idle_o (host_gt_tx_elec_idle),
206
  .gt_tx_com_strt_o  (host_gt_tx_com_strt),
207
  .gt_tx_com_type_o  (host_gt_tx_com_type));
208
 
209
////////////////////////////////////////////////////////////
210
// Instance    : SATA 1 Host GTP
211
// Description : 
212
////////////////////////////////////////////////////////////
213
 
214
sata_s6_sata1_gtp #(
215
  .WRAPPER_SIM_GTPRESET_SPEEDUP   (0),      // Set this to 1 for simulation
216
  .WRAPPER_SIMULATION             (0))      // Set this to 1 for simulation
217
  U_sata_host_gtp(
218
  //_____________________________________________________________________
219
  //_____________________________________________________________________
220
  //TILE0  (X1_Y0)
221
 
222
  //---------------------- Loopback and Powerdown Ports ----------------------
223
  .TILE0_LOOPBACK0_IN             (3'd0),
224
  .TILE0_LOOPBACK1_IN             (3'd0),
225
  //------------------------------- PLL Ports --------------------------------
226
  .TILE0_CLK00_IN                 (gt_clk),
227
  .TILE0_CLK01_IN                 (1'b0),
228
  .TILE0_GTPRESET0_IN             (1'b0),
229
  .TILE0_GTPRESET1_IN             (1'b0),
230
  .TILE0_PLLLKDET0_OUT            (host_gt_plllkdet),
231
  .TILE0_RESETDONE0_OUT           (host_gt_rst_done),
232
  .TILE0_RESETDONE1_OUT           (),
233
  //--------------------- Receive Ports - 8b10b Decoder ----------------------
234
  .TILE0_RXCHARISCOMMA0_OUT       (),
235
  .TILE0_RXCHARISCOMMA1_OUT       (),
236
  .TILE0_RXCHARISK0_OUT           (host_gt_rx_charisk),
237
  .TILE0_RXCHARISK1_OUT           (),
238
  .TILE0_RXDISPERR0_OUT           (host_gt_rx_disp_err),
239
  .TILE0_RXDISPERR1_OUT           (),
240
  .TILE0_RXNOTINTABLE0_OUT        (host_gt_rx_8b10b_err),
241
  .TILE0_RXNOTINTABLE1_OUT        (),
242
  //-------------------- Receive Ports - Clock Correction --------------------
243
  .TILE0_RXCLKCORCNT0_OUT         (),
244
  .TILE0_RXCLKCORCNT1_OUT         (),
245
  //------------- Receive Ports - Comma Detection and Alignment --------------
246
  .TILE0_RXBYTEISALIGNED0_OUT     (),
247
  .TILE0_RXBYTEISALIGNED1_OUT     (),
248
  .TILE0_RXENMCOMMAALIGN0_IN      (1'b1),
249
  .TILE0_RXENMCOMMAALIGN1_IN      (1'b1),
250
  .TILE0_RXENPCOMMAALIGN0_IN      (1'b1),
251
  .TILE0_RXENPCOMMAALIGN1_IN      (1'b1),
252
  //----------------- Receive Ports - RX Data Path interface -----------------
253
  .TILE0_RXDATA0_OUT              (host_gt_rx_data),
254
  .TILE0_RXDATA1_OUT              (),
255
  .TILE0_RXRECCLK0_OUT            (),
256
  .TILE0_RXRECCLK1_OUT            (),
257
  .TILE0_RXRESET0_IN              (1'b0),
258
  .TILE0_RXRESET1_IN              (1'b0),
259
  .TILE0_RXUSRCLK0_IN             (clk_gt),
260
  .TILE0_RXUSRCLK1_IN             (clk_gt),
261
  .TILE0_RXUSRCLK20_IN            (clk_phy),
262
  .TILE0_RXUSRCLK21_IN            (clk_phy),
263
  //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
264
  .TILE0_GATERXELECIDLE0_IN       (1'b0),
265
  .TILE0_GATERXELECIDLE1_IN       (1'b0),
266
  .TILE0_IGNORESIGDET0_IN         (1'b0),
267
  .TILE0_IGNORESIGDET1_IN         (1'b0),
268
  .TILE0_RXELECIDLE0_OUT          (host_gt_rx_elec_idle),
269
  .TILE0_RXELECIDLE1_OUT          (),
270
  .TILE0_RXEQMIX0_IN              (2'd0),
271
  .TILE0_RXEQMIX1_IN              (2'd0),
272
  .TILE0_RXN0_IN                  (host_gt_rx_n),
273
  .TILE0_RXN1_IN                  (1'b0),
274
  .TILE0_RXP0_IN                  (host_gt_rx_p),
275
  .TILE0_RXP1_IN                  (1'b0),
276
  //--------- Receive Ports - RX Elastic Buffer and Phase Alignment ----------
277
  .TILE0_RXSTATUS0_OUT            (host_gt_rx_status),
278
  .TILE0_RXSTATUS1_OUT            (),
279
  //-------------------------- TX/RX Datapath Ports --------------------------
280
  .TILE0_GTPCLKOUT0_OUT           (host_gt_clk_ref),
281
  .TILE0_GTPCLKOUT1_OUT           (),
282
  //----------------- Transmit Ports - 8b10b Encoder Control -----------------
283
  .TILE0_TXCHARISK0_IN            (host_gt_tx_charisk),
284
  .TILE0_TXCHARISK1_IN            (2'd0),
285
  //---------------- Transmit Ports - TX Data Path interface -----------------
286
  .TILE0_TXDATA0_IN               (host_gt_tx_data),
287
  .TILE0_TXDATA1_IN               (16'd0),
288
  .TILE0_TXOUTCLK0_OUT            (),
289
  .TILE0_TXOUTCLK1_OUT            (),
290
  .TILE0_TXRESET0_IN              (1'b0),
291
  .TILE0_TXRESET1_IN              (1'b0),
292
  .TILE0_TXUSRCLK0_IN             (clk_gt),
293
  .TILE0_TXUSRCLK1_IN             (clk_gt),
294
  .TILE0_TXUSRCLK20_IN            (clk_phy),
295
  .TILE0_TXUSRCLK21_IN            (clk_phy),
296
  //------------- Transmit Ports - TX Driver and OOB signalling --------------
297
  .TILE0_TXDIFFCTRL0_IN           (4'b0111),
298
  .TILE0_TXDIFFCTRL1_IN           (4'b0111),
299
  .TILE0_TXN0_OUT                 (host_gt_tx_n),
300
  .TILE0_TXN1_OUT                 (),
301
  .TILE0_TXP0_OUT                 (host_gt_tx_p),
302
  .TILE0_TXP1_OUT                 (),
303
  .TILE0_TXPREEMPHASIS0_IN        (3'd0),
304
  .TILE0_TXPREEMPHASIS1_IN        (3'd0),
305
  //--------------- Transmit Ports - TX Ports for PCI Express ----------------
306
  .TILE0_TXELECIDLE0_IN           (host_gt_tx_elec_idle),
307
  .TILE0_TXELECIDLE1_IN           (1'b0),
308
  //------------------- Transmit Ports - TX Ports for SATA -------------------
309
  .TILE0_TXCOMSTART0_IN           (host_gt_tx_com_strt),
310
  .TILE0_TXCOMSTART1_IN           (1'b0),
311
  .TILE0_TXCOMTYPE0_IN            (host_gt_tx_com_type),
312
  .TILE0_TXCOMTYPE1_IN            (1'b0));
313
 
314
////////////////////////////////////////////////////////////
315
// Instance    : SATA Device PHY
316
// Description : 
317
////////////////////////////////////////////////////////////
318
 
319
sata_phy_top_x6series #(
320
  .IS_HOST           (0),
321
  .SATA_REV          (1))
322
  U_sata_dev(
323
  .clk               (clk_sata),
324
  .clk_phy           (clk_phy),
325
  .rst_n             (rst_n),
326
  .lnk_tx_tdata_i    (`SYNC_VAL),
327
  .lnk_tx_tvalid_i   (1'b1),
328
  .lnk_tx_tready_o   (),
329
  .lnk_tx_tuser_i    (4'b0001),
330
  .lnk_rx_tdata_o    (),
331
  .lnk_rx_tvalid_o   (),
332
  .lnk_rx_tready_i   (1'b1),
333
  .lnk_rx_tuser_o    (),
334
  .phy_status_o      (),
335
  .gt_rst_done_i     (dev_gt_rst_done),
336
  .gt_rx_data_i      (dev_gt_rx_data),
337
  .gt_rx_charisk_i   (dev_gt_rx_charisk),
338
  .gt_rx_disp_err_i  (dev_gt_rx_disp_err),
339
  .gt_rx_8b10b_err_i (dev_gt_rx_8b10b_err),
340
  .gt_rx_elec_idle_i (dev_gt_rx_elec_idle),
341
  .gt_rx_status_i    (dev_gt_rx_status),
342
  .gt_tx_data_o      (dev_gt_tx_data),
343
  .gt_tx_charisk_o   (dev_gt_tx_charisk),
344
  .gt_tx_elec_idle_o (dev_gt_tx_elec_idle),
345
  .gt_tx_com_strt_o  (dev_gt_tx_com_strt),
346
  .gt_tx_com_type_o  (dev_gt_tx_com_type));
347
 
348
////////////////////////////////////////////////////////////
349
// Instance    : SATA 1 Device GTP
350
// Description : 
351
////////////////////////////////////////////////////////////
352
 
353
sata_s6_sata1_gtp #(
354
  .WRAPPER_SIM_GTPRESET_SPEEDUP   (0),      // Set this to 1 for simulation
355
  .WRAPPER_SIMULATION             (0))      // Set this to 1 for simulation
356
  U_sata_dev_gtp(
357
  //_____________________________________________________________________
358
  //_____________________________________________________________________
359
  //TILE0  (X1_Y0)
360
 
361
  //---------------------- Loopback and Powerdown Ports ----------------------
362
  .TILE0_LOOPBACK0_IN             (3'd0),
363
  .TILE0_LOOPBACK1_IN             (3'd0),
364
  //------------------------------- PLL Ports --------------------------------
365
  .TILE0_CLK00_IN                 (gt_clk),
366
  .TILE0_CLK01_IN                 (1'b0),
367
  .TILE0_GTPRESET0_IN             (1'b0),
368
  .TILE0_GTPRESET1_IN             (1'b0),
369
  .TILE0_PLLLKDET0_OUT            (dev_gt_plllkdet),
370
  .TILE0_RESETDONE0_OUT           (dev_gt_rst_done),
371
  .TILE0_RESETDONE1_OUT           (),
372
  //--------------------- Receive Ports - 8b10b Decoder ----------------------
373
  .TILE0_RXCHARISCOMMA0_OUT       (),
374
  .TILE0_RXCHARISCOMMA1_OUT       (),
375
  .TILE0_RXCHARISK0_OUT           (dev_gt_rx_charisk),
376
  .TILE0_RXCHARISK1_OUT           (),
377
  .TILE0_RXDISPERR0_OUT           (dev_gt_rx_disp_err),
378
  .TILE0_RXDISPERR1_OUT           (),
379
  .TILE0_RXNOTINTABLE0_OUT        (dev_gt_rx_8b10b_err),
380
  .TILE0_RXNOTINTABLE1_OUT        (),
381
  //-------------------- Receive Ports - Clock Correction --------------------
382
  .TILE0_RXCLKCORCNT0_OUT         (),
383
  .TILE0_RXCLKCORCNT1_OUT         (),
384
  //------------- Receive Ports - Comma Detection and Alignment --------------
385
  .TILE0_RXBYTEISALIGNED0_OUT     (),
386
  .TILE0_RXBYTEISALIGNED1_OUT     (),
387
  .TILE0_RXENMCOMMAALIGN0_IN      (1'b1),
388
  .TILE0_RXENMCOMMAALIGN1_IN      (1'b1),
389
  .TILE0_RXENPCOMMAALIGN0_IN      (1'b1),
390
  .TILE0_RXENPCOMMAALIGN1_IN      (1'b1),
391
  //----------------- Receive Ports - RX Data Path interface -----------------
392
  .TILE0_RXDATA0_OUT              (dev_gt_rx_data),
393
  .TILE0_RXDATA1_OUT              (),
394
  .TILE0_RXRECCLK0_OUT            (),
395
  .TILE0_RXRECCLK1_OUT            (),
396
  .TILE0_RXRESET0_IN              (1'b0),
397
  .TILE0_RXRESET1_IN              (1'b0),
398
  .TILE0_RXUSRCLK0_IN             (clk_gt),
399
  .TILE0_RXUSRCLK1_IN             (clk_gt),
400
  .TILE0_RXUSRCLK20_IN            (clk_phy),
401
  .TILE0_RXUSRCLK21_IN            (clk_phy),
402
  //----- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------
403
  .TILE0_GATERXELECIDLE0_IN       (1'b0),
404
  .TILE0_GATERXELECIDLE1_IN       (1'b0),
405
  .TILE0_IGNORESIGDET0_IN         (1'b0),
406
  .TILE0_IGNORESIGDET1_IN         (1'b0),
407
  .TILE0_RXELECIDLE0_OUT          (dev_gt_rx_elec_idle),
408
  .TILE0_RXELECIDLE1_OUT          (),
409
  .TILE0_RXEQMIX0_IN              (2'd0),
410
  .TILE0_RXEQMIX1_IN              (2'd0),
411
  .TILE0_RXN0_IN                  (dev_gt_rx_n),
412
  .TILE0_RXN1_IN                  (1'b0),
413
  .TILE0_RXP0_IN                  (dev_gt_rx_p),
414
  .TILE0_RXP1_IN                  (1'b0),
415
  //--------- Receive Ports - RX Elastic Buffer and Phase Alignment ----------
416
  .TILE0_RXSTATUS0_OUT            (dev_gt_rx_status),
417
  .TILE0_RXSTATUS1_OUT            (),
418
  //-------------------------- TX/RX Datapath Ports --------------------------
419
  .TILE0_GTPCLKOUT0_OUT           (dev_gt_clk_ref),
420
  .TILE0_GTPCLKOUT1_OUT           (),
421
  //----------------- Transmit Ports - 8b10b Encoder Control -----------------
422
  .TILE0_TXCHARISK0_IN            (dev_gt_tx_charisk),
423
  .TILE0_TXCHARISK1_IN            (2'd0),
424
  //---------------- Transmit Ports - TX Data Path interface -----------------
425
  .TILE0_TXDATA0_IN               (dev_gt_tx_data),
426
  .TILE0_TXDATA1_IN               (16'd0),
427
  .TILE0_TXOUTCLK0_OUT            (),
428
  .TILE0_TXOUTCLK1_OUT            (),
429
  .TILE0_TXRESET0_IN              (1'b0),
430
  .TILE0_TXRESET1_IN              (1'b0),
431
  .TILE0_TXUSRCLK0_IN             (clk_gt),
432
  .TILE0_TXUSRCLK1_IN             (clk_gt),
433
  .TILE0_TXUSRCLK20_IN            (clk_phy),
434
  .TILE0_TXUSRCLK21_IN            (clk_phy),
435
  //------------- Transmit Ports - TX Driver and OOB signalling --------------
436
  .TILE0_TXDIFFCTRL0_IN           (4'b0111),
437
  .TILE0_TXDIFFCTRL1_IN           (4'b0111),
438
  .TILE0_TXN0_OUT                 (dev_gt_tx_n),
439
  .TILE0_TXN1_OUT                 (),
440
  .TILE0_TXP0_OUT                 (dev_gt_tx_p),
441
  .TILE0_TXP1_OUT                 (),
442
  .TILE0_TXPREEMPHASIS0_IN        (3'd0),
443
  .TILE0_TXPREEMPHASIS1_IN        (3'd0),
444
  //--------------- Transmit Ports - TX Ports for PCI Express ----------------
445
  .TILE0_TXELECIDLE0_IN           (dev_gt_tx_elec_idle),
446
  .TILE0_TXELECIDLE1_IN           (1'b0),
447
  //------------------- Transmit Ports - TX Ports for SATA -------------------
448
  .TILE0_TXCOMSTART0_IN           (dev_gt_tx_com_strt),
449
  .TILE0_TXCOMSTART1_IN           (1'b0),
450
  .TILE0_TXCOMTYPE0_IN            (dev_gt_tx_com_type),
451
  .TILE0_TXCOMTYPE1_IN            (1'b0));
452
 
453
endmodule
454
 
455
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.