OpenCores
URL https://opencores.org/ocsvn/saturn/saturn/trunk

Subversion Repositories saturn

[/] [saturn/] [trunk/] [IPCommunication/] [if_picspi2.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 DavidRAMBA
--============================================================================= 
2
--  TITRE : IF_PICSPI
3
--  DESCRIPTION : 
4
--       Assure l'interface avec le PIC32 à travers un lien SPI
5
--       Implémente les registres mémoires tels que définis dans le HSI
6
 
7
--  FICHIER :        if_picspi.vhd 
8
--=============================================================================
9
--  CREATION 
10
--  DATE              AUTEUR    PROJET  REVISION 
11
--  10/04/2014  DRA        SATURN       V1.0 
12
--=============================================================================
13
--  HISTORIQUE  DES  MODIFICATIONS :
14
--  DATE                 AUTEUR PROJET  REVISION 
15
--  24/11/2014    DRA      SATURN   1.01
16
--  Modification de l'état des bits recopie au reset pour être conforme 
17
--  à l'exigence CON-PRO-0110
18
--=============================================================================
19
 
20
LIBRARY IEEE;
21
USE IEEE.STD_LOGIC_1164.ALL;
22
USE IEEE.STD_LOGIC_ARITH.ALL;
23
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
24
LIBRARY UNISIM;
25
USE UNISIM.VComponents.ALL;
26
 
27
ENTITY if_picspi2 IS
28
   GENERIC (
29
      version : STD_LOGIC_VECTOR(7 DOWNTO 0));
30
   PORT (
31
      -- Ports système
32
      clk_sys  : IN  STD_LOGIC;  -- Clock système
33
      rst_n    : IN  STD_LOGIC;  -- Reset général système
34
 
35
      -- Interface SPI
36
      sclk     : IN  STD_LOGIC;  -- Clock SPI
37
      sdi      : IN  STD_LOGIC;  -- Bit IN SPI
38
      sdo      : OUT STD_LOGIC;  -- Bit OUT SPI
39
      ssn      : IN  STD_LOGIC;  -- CSn SPI
40
 
41
      -- Interface avec les autres modules du FPGA
42
      -- Tous ces signaux sont synchrones de clk_sys ou bien considérés statiques (comme IID)
43
      -- Signaux de configurations
44
      iid      : IN  STD_LOGIC_VECTOR(63 DOWNTO 0);   -- Identifiant IID du FPGA
45
      tid      : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);    -- Identifiant TID du FPGA
46
      cpy1     : OUT STD_LOGIC;                       -- Autorise la recopie du port 1 sur port 2
47
      cpy2     : OUT STD_LOGIC;                       -- Autorise la recopie du port 2 sur port 1
48
      repli    : OUT STD_LOGIC;                       -- Indique que le module est en repli (gestion des LED)
49
 
50
      -- Interfaces de lecture des trames port 1
51
      l7_rx1       : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);-- Données reçues sur port 1
52
      l7_soc1      : IN  STD_LOGIC;                   -- Indique le début d'une trame
53
      l7_rd1       : OUT STD_LOGIC;                   -- Signal de lecture d'une donnée supplémentaire
54
      l7_comdispo1 : IN  STD_LOGIC;                   -- Indique qu'il y'a au moins une trame de dispo
55
      l7_newframe1 : IN  STD_LOGIC;                   -- Indique la réception d'une nouvelle trame
56
      l7_l2ok1     : IN  STD_LOGIC;                   -- Indique si la couche transport est bonne ou non
57
      l7_overflow1 : IN  STD_LOGIC;                   -- Indique un overflow sur réception
58
      activity1    : IN  STD_LOGIC;                   -- Indique une trame sur le port 1 (couche 2)
59
 
60
         -- Interfaces de lecture des trames port 2
61
      l7_rx2       : IN  STD_LOGIC_VECTOR(7 DOWNTO 0);-- Données reçues sur port 2
62
      l7_soc2      : IN  STD_LOGIC;                   -- Indique le début d'une trame
63
      l7_rd2       : OUT STD_LOGIC;                   -- Signal de lecture d'une donnée supplémentaire
64
      l7_comdispo2 : IN  STD_LOGIC;                   -- Indique qu'il y'a au moins une trame de dispo
65
      l7_newframe2 : IN  STD_LOGIC;                   -- Indique la réception d'une nouvelle trame
66
      l7_l2ok2     : IN  STD_LOGIC;                   -- Indique si la couche transport est bonne ou non
67
      l7_overflow2 : IN  STD_LOGIC;                   -- Indique un overflow sur réception
68
      activity2    : IN  STD_LOGIC;                   -- Indique une trame sur le port 2 (couche 2)
69
 
70
      -- Interface d'écriture des trames
71
      tx_dat       : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);-- Données à transmettre sur les 2 ports
72
      val_txdat    : OUT STD_LOGIC;                   -- Validant de chaque octet
73
      tx_sof       : OUT STD_LOGIC;                   -- Indique le début d'une trame
74
      tx_eof       : OUT STD_LOGIC;                   -- Indique la fin d'une trame
75
      txdat_free   : IN  STD_LOGIC;                   -- Indique que la couche transport en tx est libre
76
      clr_fifo_tx  : OUT STD_LOGIC;                   -- Permet de purger les FIFO Tx
77
 
78
      -- Gestion de l'interface SPI PROM
79
      txprom_dat   : OUT STD_LOGIC_VECTOR(7 downto 0);-- Donnée + commandes à écrire dans le module de reprog
80
      txprom_val   : OUT STD_LOGIC;                   -- Validant de txprom_data
81
      rxprom_dat   : IN  STD_LOGIC_VECTOR(7 downto 0);-- Donnée lue depuis le module de reprog
82
      rxprom_val   : IN  STD_LOGIC;                   -- Indique qu'il y a des données à lire dans le module de reprog
83
      rxprom_next  : OUT STD_LOGIC;                   -- Lit une donnée de plus sur txprom_dat
84
      prom_type_com: OUT STD_LOGIC;                   -- Type de commande à exécuter (RD ou WR)
85
      prom_exec_com: OUT STD_LOGIC;                   -- Lance une commande dans le module de reprog
86
      prom_busy    : IN  STD_LOGIC;                   -- Indique que le module de reprog est occupé
87
      prom_nbread  : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);-- Nombre d'octet qu'il faut lire avec une commande de lecture
88
      prom_rstn    : OUT STD_LOGIC                    -- Reset du module de reprog
89
      );
90
END if_picspi2;
91
 
92
ARCHITECTURE rtl of if_picspi2 is
93
   TYPE fsmtx_state IS (idle_st, senddat_st);         -- Machine d'état d'émission sur le SPI
94
   SIGNAL fsm_tx  : fsmtx_state;
95
 
96
   TYPE fsmrx_state IS (idle_st, pump_st, recdat_st, waitnotempty_st);  -- Machine de réception sur SPI
97
   SIGNAL fsm_rx  : fsmrx_state;
98
 
99
   -- Définition du Mapping mémoire des registre SPI
100
   CONSTANT adreg_iid      : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(0, 7);
101
   CONSTANT adreg_tid      : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(8, 7);
102
   CONSTANT adreg_ctl      : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(9, 7);
103
   CONSTANT adreg_stat     : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(10, 7);
104
   CONSTANT adreg_rxsize1  : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(11, 7);
105
   CONSTANT adreg_rxsize2  : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(12, 7);
106
   CONSTANT adreg_txfree   : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(13, 7);
107
   CONSTANT adreg_fiforx1  : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(14, 7);
108
   CONSTANT adreg_fiforx2  : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(15, 7);
109
   CONSTANT adreg_fifotx   : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(16, 7);
110
   CONSTANT adreg_version  : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(17, 7);
111
   CONSTANT adreg_promtx   : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(18, 7);
112
   CONSTANT adreg_promrx   : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(19, 7);
113
   CONSTANT adreg_promctl  : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(20, 7);
114
   CONSTANT adreg_promnbrd : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(21, 7);
115
   CONSTANT adreg_trafic   : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(22, 7);
116
 
117
   -- Définition des registres internes
118
   SIGNAL reg_tid_spi      : STD_LOGIC_VECTOR(7 DOWNTO 0);
119
   SIGNAL reg_ctl_spi      : STD_LOGIC_VECTOR(7 DOWNTO 0);
120
   SIGNAL reg_stat_spi     : STD_LOGIC_VECTOR(7 DOWNTO 0);
121
   SIGNAL reg_rx1size_spi  : STD_LOGIC_VECTOR(7 DOWNTO 0);
122
   SIGNAL reg_rx2size_spi  : STD_LOGIC_VECTOR(7 DOWNTO 0);
123
   SIGNAL reg_txfree_spi   : STD_LOGIC_VECTOR(7 DOWNTO 0);
124
   SIGNAL reg_fiforx1_spi  : STD_LOGIC_VECTOR(7 DOWNTO 0);
125
   SIGNAL reg_fiforx2_spi  : STD_LOGIC_VECTOR(7 DOWNTO 0);
126
   SIGNAL reg_promctl      : STD_LOGIC_VECTOR(7 DOWNTO 0);
127
   SIGNAL reg_promnbrd     : STD_LOGIC_VECTOR(7 DOWNTO 0);
128
   SIGNAL reg_trafic       : STD_LOGIC_VECTOR(7 DOWNTO 0);
129
 
130
 
131
   -- Signaux de gestion de l'interface SPI
132
   SIGNAL cpt_bitspi       : STD_LOGIC_VECTOR(2 DOWNTO 0); -- Compte le nombre de bits sur un cycle SPI
133
   SIGNAL cptbit_tx        : STD_LOGIC_VECTOR(2 DOWNTO 0); -- Compte le nombre de bits en Tx sur le SPI
134
   SIGNAL adrd_spi         : STD_LOGIC_VECTOR(6 DOWNTO 0); -- Bus d'adresse d'accès des registres SPI en rd
135
   SIGNAL adwr_spi         : STD_LOGIC_VECTOR(6 DOWNTO 0); -- Bus d'adresse d'accès des registres SPI en wr
136
   SIGNAL rwn_spi          : STD_LOGIC;                    -- Mémorise le type d'accès SPI R/Wn
137
   SIGNAL dat_adn          : STD_LOGIC;                    -- Indique si l'octet en cours sur SPI est une data ou l'adresse
138
   SIGNAL shifter_spirx    : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Registre à déclage de réception SPI
139
   SIGNAL shifter_spitx    : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Registre à déclage d'émission SPI
140
   SIGNAL spi_encours      : STD_LOGIC;                    -- Indique un cycle SPI en cours
141
   SIGNAL data_rdspi       : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Donnée lue à l'adresse adrd_spi
142
   SIGNAL wr_reg           : STD_LOGIC;                    -- 1 Pulse pour écrire le registre adwr_spi
143
   SIGNAL rd_reg           : STD_LOGIC;                    -- 1 pulse pour lire le registre adrd_spi
144
   SIGNAL latch_rdspi      : STD_LOGIC;                    -- 1 pulse pour latcher la donnée de adrd_spi (lecture non effective pour les FIFO)
145
   SIGNAL sclk_rise        : STD_LOGIC;                    -- Détection du front montant de sclk
146
   SIGNAL ssn_rise         : STD_LOGIC;                    -- Détection front montant de ssn avec clk_sys
147
   SIGNAL ssn_fall         : STD_LOGIC;                    -- Détection front descendant de ssn avec clk_sys
148
   SIGNAL ssnr             : STD_LOGIC_VECTOR(2 DOWNTO 0); -- DFF pour métastab et détection de front de ssn avec clk_sys
149
   SIGNAL sclkr            : STD_LOGIC_VECTOR(2 DOWNTO 0); -- DFF pour métastab et détection de front de sclk avec clk_sys
150
   SIGNAL front_ssn        : STD_LOGIC;                    -- Détection de front descendant sur ssn avec sclk
151
   SIGNAL sdi_delayed      : STD_LOGIC;                    -- sdi retardé pour intégrer les timing PIC (Tcko)
152
 
153
   -- Signaux de gestion interne et changement d'horloge
154
   SIGNAL difftx_free   : STD_LOGIC_VECTOR(10 DOWNTO 0);   -- Pour calculer la taille dispo en FIFO Tx sur 8 bits
155
   SIGNAL fifotx_datacnt: STD_LOGIC_VECTOR(10 DOWNTO 0);   -- Pour récuper le nb d'octets utilisé en FIFO Tx
156
   SIGNAL wr_datatx_spi : STD_LOGIC;                       -- Ordre d'écriture dans la FIFO Tx
157
   SIGNAL rd_datatx_sys : STD_LOGIC;                       -- Ordre de lecture dans la FIFO Tx
158
   SIGNAL datatx_rd_sys : STD_LOGIC_VECTOR(7 DOWNTO 0);    -- Donnée lue dans la FIFO Tx
159
   SIGNAL fifotx_empty  : STD_LOGIC;                       -- Indique une FFIO Tx vide
160
   SIGNAL rst_fifotx    : STD_LOGIC;                       -- Effacement FIFO Tx
161
   SIGNAL cpt_tx        : STD_LOGIC_VECTOR(7 DOWNTO 0);    -- Compteur d'octet pour relire la FIFO Tx
162
   SIGNAL start_tx      : STD_LOGIC;                       -- Déclenche l'émission d'une trame stockée en FIFO Tx
163
   SIGNAL clr_starttx   : STD_LOGIC;                       -- Indique que la trame en FIFO Tx a été émise
164
 
165
   SIGNAL fiforx_datacnt1: STD_LOGIC_VECTOR(10 DOWNTO 0);  -- Nombre d'octet stockés dans FIFO Rx1
166
   SIGNAL rd_datarx_spi1 : STD_LOGIC;                      -- Ordre de lecture dans la FIFO Rx1
167
   SIGNAL fiforx_empty1  : STD_LOGIC;                      -- FIFO Rx1 vide
168
 
169
   SIGNAL fiforx_datacnt2: STD_LOGIC_VECTOR(10 DOWNTO 0);  -- Nombre d'octet stockés dans FIFO Rx2
170
   SIGNAL rd_datarx_spi2 : STD_LOGIC;                      -- Ordre de lecture dans la FIFO Rx2
171
   SIGNAL fiforx_empty2  : STD_LOGIC;                      -- FIFO Rx2 vide
172
 
173
   SIGNAL l7_rd          : STD_LOGIC;                      -- Demande un octet de plus sur le bus l7_rx1 ou l7_rx2
174
   SIGNAL l7_rd1buf      : STD_LOGIC;                      -- Demande un octet de plus sur le bus l7_rx1
175
   SIGNAL l7_rd2buf      : STD_LOGIC;                      -- Demande un octet de plus sur le bus l7_rx2
176
   SIGNAL sel_voie       : STD_LOGIC;                      -- sélectionne la voie 1 ou 2 pour récupérer des donnée l7
177
   SIGNAL frm1           : STD_LOGIC;                      -- Indique que des données sont dispo en FIFO Rx1
178
   SIGNAL frm2           : STD_LOGIC;                      -- Indique que des données sont dispo en FIFO Rx2
179
   SIGNAL comdispo       : STD_LOGIC;                      -- Indique une trame l7 dispo sur la voie sélectionnée
180
   SIGNAL soc            : STD_LOGIC;                      -- Indqiue un début de trame pour la voie sélectionnée
181
 
182
   SIGNAL mem_activity1  : STD_LOGIC;                      -- Pour mémoriser une acitivté sur le port 1
183
   SIGNAL mem_activity2  : STD_LOGIC;                      -- Pour mémoriser une acitivté sur le port 2
184
 
185
   COMPONENT fifotx_spi
186
   PORT (
187
      rst      : IN STD_LOGIC;
188
      clk      : IN STD_LOGIC;
189
      din      : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
190
      wr_en    : IN STD_LOGIC;
191
      rd_en    : IN STD_LOGIC;
192
      dout     : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
193
      full     : OUT STD_LOGIC;
194
      empty    : OUT STD_LOGIC;
195
      data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
196
      );
197
   END COMPONENT;
198
 
199
   COMPONENT fiforx_spi
200
   PORT (
201
      rst      : IN STD_LOGIC;
202
      clk      : IN STD_LOGIC;
203
      din      : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
204
      wr_en    : IN STD_LOGIC;
205
      rd_en    : IN STD_LOGIC;
206
      dout     : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
207
      full     : OUT STD_LOGIC;
208
      empty    : OUT STD_LOGIC;
209
      data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
210
      );
211
   END COMPONENT;
212
 
213
BEGIN
214
   --------------------------------------------
215
   -- Module de Delay du SDI
216
   --------------------------------------------
217
   IODELAY2_inst : IODELAY2
218
   generic map (
219
      COUNTER_WRAPAROUND => "WRAPAROUND", -- "STAY_AT_LIMIT" or "WRAPAROUND" 
220
      DATA_RATE => "SDR",                 -- "SDR" or "DDR" 
221
      DELAY_SRC => "IDATAIN",             -- "IO", "ODATAIN" or "IDATAIN" 
222
      IDELAY2_VALUE => 0,                 -- Delay value when IDELAY_MODE="PCI" (0-255)
223
      IDELAY_MODE => "NORMAL",            -- "NORMAL" or "PCI" 
224
      IDELAY_TYPE => "FIXED",             -- "FIXED", "DEFAULT", "VARIABLE_FROM_ZERO", "VARIABLE_FROM_HALF_MAX" 
225
                                          -- or "DIFF_PHASE_DETECTOR" 
226
      IDELAY_VALUE => 71,                 -- Amount of taps for fixed input delay (0-255) : Calé à 2.8ns
227
      ODELAY_VALUE => 0,                  -- Amount of taps fixed output delay (0-255)
228
      SERDES_MODE => "NONE",              -- "NONE", "MASTER" or "SLAVE" 
229
      SIM_TAPDELAY_VALUE => 71            -- Per tap delay used for simulation in ps
230
   )
231
   port map (
232
      BUSY => OPEN,         -- 1-bit output: Busy output after CAL
233
      DATAOUT => sdi_delayed,-- 1-bit output: Delayed data output to ISERDES/input register
234
      DATAOUT2 => OPEN,     -- 1-bit output: Delayed data output to general FPGA fabric
235
      DOUT => OPEN,         -- 1-bit output: Delayed data output
236
      TOUT => OPEN,         -- 1-bit output: Delayed 3-state output
237
      CAL => '0',           -- 1-bit input: Initiate calibration input
238
      CE => '0',            -- 1-bit input: Enable INC input
239
      CLK => '0',           -- 1-bit input: Clock input
240
      IDATAIN => sdi,       -- 1-bit input: Data input (connect to top-level port or I/O buffer)
241
      INC => '0',           -- 1-bit input: Increment / decrement input
242
      IOCLK0 => '0',        -- 1-bit input: Input from the I/O clock network
243
      IOCLK1 => '0',        -- 1-bit input: Input from the I/O clock network
244
      ODATAIN => '0',       -- 1-bit input: Output data input from output register or OSERDES2.
245
      RST => '0',           -- 1-bit input: Reset to zero or 1/2 of total delay period
246
      T => '0'              -- 1-bit input: 3-state input signal
247
   );
248
 
249
   --------------------------------------------
250
   -- Process de desérialisation du SDI (sur sclk)
251
   --------------------------------------------
252
   serrx_spi : PROCESS(sclk)
253
   BEGIN
254
      IF (sclk'EVENT AND sclk = '0') THEN
255
         shifter_spirx <= shifter_spirx(6 DOWNTO 0) & sdi_delayed;  -- On déserialise tout le temps
256
      END IF;
257
   END PROCESS;
258
 
259
   --------------------------------------------
260
   -- Process de sérialisation du SDo (sur sclk)
261
   --------------------------------------------
262
   sertx_spi : PROCESS(sclk, ssn)
263
   BEGIN
264
      IF (ssn = '1') THEN
265
      -- Tant que le ssn n'est pas actif, on reste inactif
266
         shifter_spitx <= (OTHERS => '0');
267
         cptbit_tx <= "000";
268
         front_ssn <= '1';
269
      ELSIF (sclk'EVENT and sclk = '0') THEN
270
      -- Sur front descendant de sclk
271
         front_ssn <= '0';                      -- On mémorise le 1er coup d'horloge suite à ssn
272
         cptbit_tx <= cptbit_tx + 1;            -- a chaque sclk on compte 1 bit
273
         IF (cptbit_tx = "000")THEN
274
         -- Pour le 1er sclk de chaque octet
275
            IF (front_ssn = '1') THEN
276
            -- si c'est le 1er octet (front_ssn pas encore à '0')
277
               shifter_spitx <= reg_stat_spi;   -- On va émettre le registre de status
278
            ELSE
279
            -- si c'eux sont les octets suivants, on va émettre la donnée lue dans un registre
280
               shifter_spitx <= data_rdspi;
281
            END IF;
282
         ELSE
283
         -- Pour tous les autres bist, on fait un shift
284
            shifter_spitx <= shifter_spitx(6 DOWNTO 0) & '0';
285
         END IF;
286
      END IF;
287
   END PROCESS;
288
   sdo <= shifter_spitx(7);
289
 
290
   --------------------------------------------
291
   -- Process de gestion d'un cycle SPI
292
   -- Un cycle SPI permet de lire et d'écrire si besoin le même registre
293
   -- Un cycle SPI permet de traiter un nombre variable d'octet inconnu au départ
294
   -- Pour des raions de timing, la lecture d'un registre est anticipée
295
   -- mais rendue effective que si le PIC la veut vraiment (i.e. le cycle SPI n'est pas 
296
   -- interrompu avant)
297
   --------------------------------------------
298
   managespi : PROCESS(clk_sys, rst_n)
299
   BEGIN
300
      IF (rst_n = '0') THEN
301
         ssnr <= "111";
302
         sclkr <= "111";
303
         spi_encours <= '0';
304
         cpt_bitspi <= "000";
305
         dat_adn <= '0';
306
         rwn_spi <= '0';
307
         adrd_spi <= (OTHERS => '0');
308
         rd_reg <= '0';
309
         latch_rdspi <= '0';
310
      ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
311
         ssnr <= ssnr(1 DOWNTO 0) & ssn;        -- Pour détecter les fronts de ssn à clk_sys
312
         sclkr <= sclkr(1 DOWNTO 0) & sclk;     -- Pour détecter les fronts de sclk à clk_sys
313
         IF (ssn_fall = '1') THEN
314
         -- Initialisations sur activation de ssn
315
            spi_encours <= '1';                 -- On est en cours de traitement
316
            cpt_bitspi <= "000";                -- On comtpe les bits à partir de 0
317
            dat_adn <= '0';                     -- Le 1er octet traité sera l'adresse du registre accédé
318
         ELSIF (ssn_rise = '1') THEN
319
         -- A la fin du scycle spi
320
            spi_encours <= '0';
321
         ELSE
322
         -- On a détecté un front descendant de ssn ou bien on est hors cycle spi
323
            IF (spi_encours = '1') THEN
324
            -- Si on est dans un cycle spi
325
               IF (sclk_rise = '1') THEN
326
               -- Sur chaque front montant de sclk
327
                  cpt_bitspi <= cpt_bitspi + 1;       -- On comtpe un bit de plus
328
                  IF (cpt_bitspi = "110") THEN
329
                  -- Si on a déjà reçu 7 bits, le prochain front montant est pour le 8ème en réception
330
                     IF (dat_adn = '0') THEN
331
                     -- Si c'est le 1er octet de la trame SPI
332
                        adrd_spi  <= shifter_spirx(6 DOWNTO 0);  -- On mémorise l'adresse d'accès
333
                     ELSE
334
                     -- Si c'est une donnée
335
                        adwr_spi <= adrd_spi;    -- On mémorise l'adresse ou on vient de lire pour éventuellement pouvoir écrire
336
                        IF (adrd_spi /= adreg_fiforx1 AND
337
                            adrd_spi /= adreg_fiforx2 AND
338
                            adrd_spi /= adreg_fifotx  AND
339
                            adrd_spi /= adreg_promtx  AND
340
                            adrd_spi /= adreg_promrx) THEN
341
                        -- Si on accède à un registre qui n'est pas une FIFO, on incrémente le pointeur d'@
342
                           adrd_spi <= adrd_spi + 1;
343
                        END IF;
344
                     END IF;
345
                     latch_rdspi <= '1';     -- On va latcher la donéne disponible à l'adresse pointée par adrd_spi
346
                  ELSIF (cpt_bitspi = "111") THEN
347
                  -- Si on est au 8ème coup d'horloge
348
                     dat_adn <= '1';         -- On va traiter des donnée à l'octet suivant
349
                     IF (dat_adn = '0') THEN
350
                     -- Si c'est le 1er octet de la trame SPI
351
                        rwn_spi <= shifter_spirx(0);  -- On mémorise si c'est une écriture ou une elcture
352
                     END IF;
353
                  ELSIF (cpt_bitspi = "000") THEN
354
                  -- Si on commence un cycle, et qu'on traite des données et qu'on est en lecture
355
                     rd_reg <= dat_adn AND rwn_spi;   -- On lit effectivement la donnée dans la registre (utilse pour les FIFO)
356
                  END IF;
357
               ELSE
358
               -- En dehors des front de sclk, on assure que les signaux de lecture ne durent qu'un cycle
359
                  rd_reg <= '0';
360
                  latch_rdspi <= '0';
361
               END IF;
362
            ELSE
363
            -- En dehors d'un cycle SPI, on assure qu'on fait pas de lecture non voulue
364
               rd_reg <= '0';
365
               latch_rdspi <= '0';
366
            END IF;
367
         END IF;
368
      END IF;
369
   END PROCESS;
370
   -- On écrit une donnée dans un registre si:
371
   --   - on triate des données
372
   --   - on a reçu les 8 bits de données
373
   --   - on a détecté un front montant de sclk
374
   --   - on est en write
375
   wr_reg <= dat_adn AND NOT(rwn_spi) WHEN (sclk_rise = '1' AND cpt_bitspi = "111") ELSE '0';
376
   -- Décodage du front descendant de ssn
377
   ssn_fall <= ssnr(2) AND NOT(ssnr(1));
378
   -- Décodage du front montant de ssn
379
   ssn_rise <= NOT(ssnr(2)) AND ssnr(1);
380
   -- Décodage du front montant de sclk
381
   sclk_rise <= NOT(sclkr(2)) AND sclkr(1);
382
 
383
   --------------------------------------------
384
   -- Process de latch d'un registre en lecture
385
   --------------------------------------------
386
   mux_read: PROCESS(clk_sys, rst_n)
387
   BEGIN
388
      IF (rst_n = '0') THEN
389
         data_rdspi <= (OTHERS => '0');
390
      ELSIF (clk_sys'event AND clk_sys ='1') THEN
391
         IF (latch_rdspi = '1') THEN
392
         -- Le letch est piloté par le module de gestion SPI
393
            CASE adrd_spi IS
394
               WHEN adreg_iid    => data_rdspi <= iid(63 DOWNTO 56);
395
               WHEN adreg_iid+1  => data_rdspi <= iid(55 DOWNTO 48);
396
               WHEN adreg_iid+2  => data_rdspi <= iid(47 DOWNTO 40);
397
               WHEN adreg_iid+3  => data_rdspi <= iid(39 DOWNTO 32);
398
               WHEN adreg_iid+4  => data_rdspi <= iid(31 DOWNTO 24);
399
               WHEN adreg_iid+5  => data_rdspi <= iid(23 DOWNTO 16);
400
               WHEN adreg_iid+6  => data_rdspi <= iid(15 DOWNTO 8);
401
               WHEN adreg_iid+7  => data_rdspi <= iid(7 DOWNTO 0);
402
               WHEN adreg_tid    => data_rdspi <= reg_tid_spi;
403
               WHEN adreg_ctl    => data_rdspi <= reg_ctl_spi;
404
               WHEN adreg_stat   => data_rdspi <= reg_stat_spi;
405
               WHEN adreg_rxsize1=> data_rdspi <= reg_rx1size_spi;
406
               WHEN adreg_rxsize2=> data_rdspi <= reg_rx2size_spi;
407
               WHEN adreg_txfree => data_rdspi <= reg_txfree_spi;
408
               WHEN adreg_fiforx1=> data_rdspi <= reg_fiforx1_spi;
409
               WHEN adreg_fiforx2=> data_rdspi <= reg_fiforx2_spi;
410
               -- WHEN adreg_fifotx => data_rdspi <= dummy  -- Ce registre est Write Only
411
               WHEN adreg_version=> data_rdspi <= version;
412
               WHEN adreg_promctl=> data_rdspi <= rxprom_val & reg_promctl(6 DOWNTO 4) & prom_busy & reg_promctl(2 DOWNTO 0);
413
               WHEN adreg_promnbrd=>data_rdspi <= reg_promnbrd;
414
               -- WHEN adreg_promtx => data_rdspi <= dummy  -- Ce registre est Write Only
415
               WHEN adreg_promrx=> data_rdspi <= rxprom_dat;
416
               WHEN adreg_trafic=> data_rdspi <= reg_trafic;
417
               WHEN OTHERS       => data_rdspi <= reg_stat_spi;
418
            END CASE;
419
         END IF;
420
      END IF;
421
   END PROCESS;
422
 
423
   --------------------------------------------
424
   -- Process de gestion des écritures dans les registres
425
   --------------------------------------------
426
   write_reg : PROCESS(clk_sys, rst_n)
427
   BEGIN
428
      IF (rst_n = '0') THEN
429
         reg_tid_spi <= x"8F";
430
         reg_ctl_spi <= x"84";
431
         reg_promctl <= x"00";
432
         reg_promnbrd <= x"00";
433
      ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
434
         IF (wr_reg = '1') THEN
435
         -- L'écriture est pilotée par le module de gestion SPI
436
            CASE adwr_spi IS
437
               WHEN adreg_tid  => reg_tid_spi <= shifter_spirx;
438
               WHEN adreg_ctl  =>
439
               -- Le bit 3 du registre de controle est traqité à part
440
                  reg_ctl_spi(7 DOWNTO 5) <= shifter_spirx(7 DOWNTO 5);
441
                  reg_ctl_spi(2 DOWNTO 0) <= shifter_spirx(2 DOWNTO 0);
442
               WHEN adreg_promnbrd => reg_promnbrd <= shifter_spirx;
443
               WHEN OTHERS =>
444
            END CASE;
445
         END IF;
446
         IF (clr_starttx = '1') THEN
447
         -- Si on a fini de traiter une trame en Tx
448
            reg_ctl_spi(3) <= '0';     -- On l'indique dans le bit concerné
449
         ELSE
450
            IF (wr_reg = '1') AND (adwr_spi = adreg_ctl) AND (shifter_spirx(3) = '1') THEN
451
            -- Le PIC de peut écrire que un '1' dans le bit 3 du registre de controle
452
               reg_ctl_spi(3) <= '1';
453
            END IF;
454
         END IF;
455
         IF (wr_reg = '1' AND adwr_spi = adreg_promctl) THEN
456
            reg_promctl <= shifter_spirx;
457
         ELSE
458
         -- Le bit 3 ne doit durer qu'un seul coup de clk_sys
459
            reg_promctl(3) <= '0';
460
         END IF;
461
      END IF;
462
   END PROCESS;
463
   -- Affectation des sorties en fonction des registres internes
464
   cpy1 <= reg_ctl_spi(0);
465
   cpy2 <= reg_ctl_spi(1);
466
   rst_fifotx <= reg_ctl_spi(2);
467
   start_tx <= reg_ctl_spi(3);
468
   repli<= reg_ctl_spi(7);
469
   tid  <= reg_tid_spi;
470
 
471
   --------------------------------------------
472
   -- Process de gestion du registre de status
473
   -- Les bits de status mémorisent un évènement
474
   -- Ils ne sont remis à '0' que par ériture d'un '1'
475
   --------------------------------------------
476
   gest_stat : PROCESS(clk_sys, rst_n)
477
   BEGIN
478
      IF (rst_n = '0') THEN
479
         reg_stat_spi(7 DOWNTO 2) <= (OTHERS => '0');
480
      ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
481
         IF (l7_newframe1 = '1' AND l7_l2ok1 = '0') THEN
482
         -- Détection d'une trame erronnée sur Rx1
483
            reg_stat_spi(2) <= '1';
484
         ELSIF (wr_reg = '1' AND adwr_spi = adreg_stat) THEN
485
            reg_stat_spi(2) <= reg_stat_spi(2) AND NOT(shifter_spirx(2));
486
         END IF;
487
         IF (l7_newframe2 = '1' AND l7_l2ok2 = '0') THEN
488
         -- Détection d'une trame erronnée sur Rx2
489
            reg_stat_spi(3) <= '1';
490
         ELSIF (wr_reg = '1' AND adwr_spi = adreg_stat) THEN
491
            reg_stat_spi(3) <= reg_stat_spi(3) AND NOT(shifter_spirx(3));
492
         END IF;
493
         IF (l7_overflow1 = '1') THEN
494
         -- Détection d'un overflow sur Rx1
495
            reg_stat_spi(4) <= '1';
496
         ELSIF (wr_reg = '1' AND adwr_spi = adreg_stat) THEN
497
            reg_stat_spi(4) <= reg_stat_spi(4) AND NOT(shifter_spirx(4));
498
         END IF;
499
         IF (l7_overflow2 = '1') THEN
500
         -- Détection d'un overflow sur Rx1
501
            reg_stat_spi(5) <= '1';
502
         ELSIF (wr_reg = '1' AND adwr_spi = adreg_stat) THEN
503
            reg_stat_spi(5) <= reg_stat_spi(5) AND NOT(shifter_spirx(5));
504
         END IF;
505
         reg_stat_spi(6) <= NOT(fifotx_empty);  -- Indique que la FIFO Tx n'est pas vide
506
      END IF;
507
   END PROCESS;
508
   reg_stat_spi(1 DOWNTO 0) <= frm2 & frm1;  -- Indication que les FIFO Rx sont pas vides
509
 
510
   --------------------------------------------
511
   -- Process de gestion du registre TRAFIC
512
   --------------------------------------------
513
   gest_trafic : PROCESS(clk_sys, rst_n)
514
   BEGIN
515
      IF (rst_n = '0') THEN
516
         reg_trafic <= x"03";
517
         mem_activity1 <= '1';
518
         mem_activity2 <= '1';
519
      ELSIF (clk_sys'EVENT AND clk_sys = '1') THEN
520
         IF (wr_reg = '1' AND adwr_spi = adreg_ctl AND shifter_spirx(4) = '1') THEN
521
         -- Si on a une indication de début de cycle
522
            reg_trafic <= "000000" & mem_activity2 & mem_activity1;  -- On met à jour le registre traffic
523
            mem_activity1 <= activity1;                     -- On réinit la mémorisation de trafic
524
            mem_activity2 <= activity2;
525
         ELSE
526
         -- Entre 2 début de cycle
527
            IF (activity1 = '1') THEN
528
            -- Si activité sur le port 1, on le mémorise
529
               mem_activity1 <= '1';
530
            END IF;
531
            IF (activity2 = '1') THEN
532
               mem_activity2 <= '1';
533
            END IF;
534
         END IF;
535
      END IF;
536
   END PROCESS;
537
 
538
   --------------------------------------------
539
   -- Process de gestion de la FIFO Tx
540
   --------------------------------------------
541
   difftx_free <= "10000000010" - fifotx_datacnt; -- Calcul du nombre d'octets dispo dans la FIFO 1026-cnt
542
   reg_txfree_spi <= x"FF" WHEN difftx_free(10 DOWNTO 8) /= "000" ELSE  -- Si txfree >=256 on tronque le résultat à 255
543
                     difftx_free(7 DOWNTO 0);              -- Sinon on donne le résultat
544
 
545
   -- Condition d'écriture d'un octet dans la FIFO TX
546
   wr_datatx_spi <= '1' WHEN (wr_reg = '1' AND adwr_spi = adreg_fifotx) ELSE '0';
547
 
548
   clr_fifo_tx <= '0';              -- Spare pour l'instant on ne fait pas de clear de la fifo tx aval
549
 
550
   -- On lit un octet dans la FIFO TX au début lorsuq'on détecte qu'elle n'est plus vide et que le PIC demande de transmettre (start_tx)
551
   -- ou bien en cours de transfert lorsque le module suivant est dispo
552
   rd_datatx_sys <= '1' WHEN ((fsm_tx = idle_st AND fifotx_empty = '0' AND start_tx = '1') OR
553
                              (fsm_tx = senddat_st AND txdat_free = '1' AND fifotx_empty = '0')) ELSE
554
                    '0';
555
 
556
   -- Le valdiant est toujours actif en état d'attente pour démarrer de suite
557
   -- En téta de transmission, il est conditionné au niveau de remplissage
558
   val_txdat <= NOT(fifotx_empty) WHEN (fsm_tx = idle_st) ELSE '1';
559
   -- Donnée lue dans la FIFO à transmettre
560
   tx_dat <= datatx_rd_sys;
561
   -- La fin de trame est valide si le module suivant est dispo
562
   tx_eof <= txdat_free WHEN (fsm_tx = senddat_st AND cpt_tx = "00000001") ELSE '0';
563
 
564
   gest_fsm_tx : PROCESS(clk_sys, rst_n)
565
   BEGIN
566
      IF (rst_n = '0') THEN
567
         fsm_tx <= idle_st;
568
         tx_sof    <= '0';
569
         cpt_tx    <= (OTHERS => '0');
570
         clr_starttx <= '0';
571
      ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
572
         CASE fsm_tx IS
573
            WHEN idle_st  =>
574
            -- Etat d'attente de données dans la FIFO TX
575
               IF (fifotx_empty = '0' AND start_tx = '1') THEN
576
               -- Si il y'a des données dans la FIFO TX et que le PIC ordonne le Tx
577
                  cpt_tx <= datatx_rd_sys;   -- On initialise le compteur avec la longueur de la trame (1er octet dans la FIFO)
578
                  fsm_tx <= senddat_st;      -- On va transmettre des données
579
                  tx_sof <= '1';             -- On active le sof pour signaler un début de trame
580
                  clr_starttx <= '1';        -- On indique qu'on a pris en compte l'ordre de Tx
581
               END IF;
582
 
583
            WHEN senddat_st =>
584
            -- Etat de transfert d'une donnée
585
               clr_starttx <= '0';           -- Ne dure qu'un seul cycle
586
               IF (txdat_free = '1') THEN
587
               -- Les données restent sur le bus tx_dat tant que le module suivant n'est pas libre
588
               -- i.e. tant qu'il a pas latché la donnée actuelle
589
                  cpt_tx <= cpt_tx - 1;         -- Dans ce cas on enregistre une donnee de moins
590
                  tx_sof <= '0';                -- On peut annuler le sof car on est sur que le module suivant l'a pris en comtpe
591
                  IF (cpt_tx = "00000001") THEN -- Lors du dernier octet à transmettre
592
                     fsm_tx <= idle_st;         -- On a fini
593
                  END IF;
594
               END IF;
595
 
596
            WHEN OTHERS =>
597
               fsm_tx <= idle_st;
598
         END CASE;
599
      END IF;
600
   END PROCESS;
601
 
602
   inst_fiftx : fifotx_spi
603
   PORT MAP (
604
      rst      => rst_fifotx,
605
      clk      => clk_sys,
606
      din      => shifter_spirx,
607
      wr_en    => wr_datatx_spi,
608
      rd_en    => rd_datatx_sys,
609
      dout     => datatx_rd_sys,
610
      full     => OPEN,
611
      empty    => fifotx_empty,
612
      data_count => fifotx_datacnt
613
   );
614
 
615
   --------------------------------------------
616
   -- Process de gestion des FIFO Rx
617
   --------------------------------------------
618
   -- Ordre de lecture dans les FIFO Rx
619
   rd_datarx_spi1 <= '1' WHEN (rd_reg = '1' AND adrd_spi = adreg_fiforx1) ELSE '0';
620
   rd_datarx_spi2 <= '1' WHEN (rd_reg = '1' AND adrd_spi = adreg_fiforx2) ELSE '0';
621
 
622
   -- On transfère des données dans la FIFO Rx trame par trame. On s'arrête
623
   l7_rd1buf <= (l7_rd AND NOT(sel_voie) AND comdispo AND NOT(soc)) WHEN (fsm_rx = recdat_st) ELSE
624
                (l7_rd AND NOT(sel_voie));
625
   l7_rd1 <= l7_rd1buf;
626
   l7_rd2buf <= (l7_rd AND sel_voie AND comdispo AND NOT(soc)) WHEN (fsm_rx = recdat_st) ELSE
627
                (l7_rd AND sel_voie);
628
   l7_rd2 <= l7_rd2buf;
629
 
630
   comdispo <= l7_comdispo1 WHEN (sel_voie = '0') ELSE l7_comdispo2;
631
   soc <= l7_soc1 WHEN (sel_voie = '0') ELSE l7_soc2;
632
 
633
   gest_fsm_rx : PROCESS(clk_sys, rst_n)
634
   BEGIN
635
      IF (rst_n = '0') THEN
636
         l7_rd <= '0';
637
         sel_voie <= '0';
638
         fsm_rx <= idle_st;
639
         frm2 <= '0';
640
         frm1 <= '0';
641
      ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
642
         CASE fsm_rx IS
643
            WHEN idle_st  =>
644
            -- Etat d'attente qu'une FIFO Rx1 ou Rx2 soit vide et qu'il y'ait une trame disponible
645
            -- sur la voie correspondante
646
               frm1 <= NOT(fiforx_empty1);      -- On indique s'il y'a des trames en FIFO Rx
647
               frm2 <= NOT(fiforx_empty2);
648
               IF ((l7_comdispo1 = '1' AND fiforx_empty1 = '1') AND
649
                   (l7_comdispo2 = '0' OR  fiforx_empty2 = '0' OR sel_voie = '1')) THEN
650
               -- On ne recopie que si la FIFO de destination est vide pour garantir qu'on ne stocke qu'une trame à la fois
651
               -- On fait un coup la FIFO Rx1 un coup la Rx2 pour équilibrer les niveaux
652
                  sel_voie <= '0';        -- On sélectionne la voie Rx1
653
                  l7_rd <= '1';           -- On commence à lire dans la DPRAM
654
                  fsm_rx <= pump_st;
655
               ELSIF (l7_comdispo2 = '1' AND fiforx_empty2 = '1') THEN
656
                  sel_voie <= '1';
657
                  l7_rd <= '1';
658
                  fsm_rx <= pump_st;
659
               ELSE
660
                  l7_rd <= '0';
661
               END IF;
662
 
663
            WHEN pump_st =>
664
            -- Etat d'amorçgae du flux entre l'ordre de lecture et la mise à disposition de la donnée
665
               fsm_rx <= recdat_st;
666
 
667
            WHEN recdat_st =>
668
            -- Etat de lecture de chaque octet de la trame
669
               IF (soc = '1' OR comdispo = '0') THEN
670
               -- On s'arrête sur le début de la trame suivante ou bien sur une DPRAM vide
671
                  l7_rd <= '0';
672
                  fsm_rx <= waitnotempty_st;
673
               END IF;
674
 
675
            WHEN waitnotempty_st =>
676
            -- Etat d'attente que la FIFO de la voie sélectionnée soit indiquée comme non vide
677
            -- Etat important pour garantir qu'on est pas réentrant dans la machine
678
            -- en cas de plusieurs petites trames dispo en DPRAM et donc garantir qu'on a
679
            -- une seule trame en FIFO Rx
680
               IF ((fiforx_empty1 = '0' AND sel_voie = '0') OR
681
                  (fiforx_empty2 = '0' AND sel_voie = '1')) THEN
682
                  fsm_rx <= idle_st;
683
               END IF;
684
 
685
            WHEN OTHERS =>
686
               fsm_rx <= idle_st;
687
         END CASE;
688
      END IF;
689
   END PROCESS;
690
 
691
   inst_fifrx1 : fiforx_spi
692
   PORT MAP (
693
      rst      => NOT(rst_n),
694
      clk      => clk_sys,
695
      din      => l7_rx1,
696
      wr_en    => l7_rd1buf,
697
      rd_en    => rd_datarx_spi1,
698
      dout     => reg_fiforx1_spi,
699
      full     => OPEN,
700
      empty    => fiforx_empty1,
701
      data_count => fiforx_datacnt1
702
   );
703
   -- Taille de la trame dans la FIFO : 255 si >= 256, sinon Nb octets dans la FIFO
704
   reg_rx1size_spi <= x"FF" WHEN fiforx_datacnt1(10 DOWNTO 8) /= "000" ELSE
705
                      fiforx_datacnt1(7 DOWNTO 0);
706
 
707
   inst_fifrx2 : fiforx_spi
708
   PORT MAP (
709
      rst      => NOT(rst_n),
710
      clk      => clk_sys,
711
      din      => l7_rx2,
712
      wr_en    => l7_rd2buf,
713
      rd_en    => rd_datarx_spi2,
714
      dout     => reg_fiforx2_spi,
715
      full     => OPEN,
716
      empty    => fiforx_empty2,
717
      data_count => fiforx_datacnt2
718
   );
719
   -- Taille de la trame dans la FIFO : 255 si >= 256, sinon Nb octets dans la FIFO
720
   reg_rx2size_spi <= x"FF" WHEN fiforx_datacnt2(10 DOWNTO 8) /= "000" ELSE
721
                      fiforx_datacnt2(7 DOWNTO 0);
722
 
723
   -------------------------------------------------
724
   -- Signaux de gestion de l'I/F SPI vers la PROM
725
   -------------------------------------------------
726
   txprom_dat     <= shifter_spirx;    -- Le registre est géré par le module PROM (affectation combinatoire)
727
   -- Le validant correspondant à un ordre d'écriture valide
728
   txprom_val     <= wr_reg WHEN (adwr_spi =  adreg_promtx) ELSE '0';
729
   -- On récupère une donnée de plsu dans la FIFO PROM avec une elcture valide
730
   rxprom_next    <= rd_reg WHEN (adrd_spi =  adreg_promrx) ELSE '0';
731
   -- Affectation des signaux de controle
732
   prom_type_com  <= reg_promctl(0);
733
   prom_exec_com  <= reg_promctl(3);
734
   prom_rstn      <= reg_promctl(4);
735
   prom_nbread    <= reg_promnbrd;
736
 
737
END rtl;
738
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.