1 |
2 |
DavidRAMBA |
--=============================================================================
|
2 |
|
|
-- TITRE : IF_PICSPI
|
3 |
|
|
-- DESCRIPTION :
|
4 |
|
|
-- Assure l'interface avec le PIC32 à travers un lien SPI
|
5 |
|
|
-- Implémente les registres mémoires tels que définis dans le HSI
|
6 |
|
|
|
7 |
|
|
-- FICHIER : if_picspi.vhd
|
8 |
|
|
--=============================================================================
|
9 |
|
|
-- CREATION
|
10 |
|
|
-- DATE AUTEUR PROJET REVISION
|
11 |
|
|
-- 10/04/2014 DRA SATURN V1.0
|
12 |
|
|
--=============================================================================
|
13 |
|
|
-- HISTORIQUE DES MODIFICATIONS :
|
14 |
|
|
-- DATE AUTEUR PROJET REVISION
|
15 |
|
|
-- 24/11/2014 DRA SATURN 1.01
|
16 |
|
|
-- Modification de l'état des bits recopie au reset pour être conforme
|
17 |
|
|
-- à l'exigence CON-PRO-0110
|
18 |
|
|
--=============================================================================
|
19 |
|
|
|
20 |
|
|
LIBRARY IEEE;
|
21 |
|
|
USE IEEE.STD_LOGIC_1164.ALL;
|
22 |
|
|
USE IEEE.STD_LOGIC_ARITH.ALL;
|
23 |
|
|
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
|
24 |
|
|
LIBRARY UNISIM;
|
25 |
|
|
USE UNISIM.VComponents.ALL;
|
26 |
|
|
|
27 |
|
|
ENTITY if_picspi_sil4 IS
|
28 |
|
|
GENERIC (
|
29 |
|
|
version : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"10");
|
30 |
|
|
PORT (
|
31 |
|
|
-- Ports système
|
32 |
|
|
clk_sys : IN STD_LOGIC; -- Clock système
|
33 |
|
|
rst_n : IN STD_LOGIC; -- Reset général système
|
34 |
|
|
|
35 |
|
|
-- Interface SPI
|
36 |
|
|
sclk : IN STD_LOGIC; -- Clock SPI
|
37 |
|
|
sdi : IN STD_LOGIC; -- Bit IN SPI
|
38 |
|
|
sdo : OUT STD_LOGIC; -- Bit OUT SPI
|
39 |
|
|
ssn : IN STD_LOGIC; -- CSn SPI
|
40 |
|
|
|
41 |
|
|
-- Interface avec les autres modules du FPGA
|
42 |
|
|
-- Tous ces signaux sont synchrones de clk_sys ou bien considérés statiques (comme IID)
|
43 |
|
|
-- Signaux de configurations
|
44 |
|
|
iid : IN STD_LOGIC_VECTOR(63 DOWNTO 0); -- Identifiant IID du FPGA
|
45 |
|
|
tid : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- Identifiant TID du FPGA
|
46 |
|
|
cpy1 : OUT STD_LOGIC; -- Autorise la recopie du port 1 sur port 2
|
47 |
|
|
cpy2 : OUT STD_LOGIC; -- Autorise la recopie du port 2 sur port 1
|
48 |
|
|
repli : OUT STD_LOGIC; -- Indique que le module est en repli (gestion des LED)
|
49 |
|
|
topcyc : OUT STD_LOGIC;
|
50 |
|
|
enafiltdble : OUT STD_LOGIC;
|
51 |
|
|
|
52 |
|
|
-- Interfaces de lecture des trames port 1
|
53 |
|
|
l7_rx1 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);-- Données reçues sur port 1
|
54 |
|
|
l7_soc1 : IN STD_LOGIC; -- Indique le début d'une trame
|
55 |
|
|
l7_rd1 : OUT STD_LOGIC; -- Signal de lecture d'une donnée supplémentaire
|
56 |
|
|
l7_comdispo1 : IN STD_LOGIC; -- Indique qu'il y'a au moins une trame de dispo
|
57 |
|
|
l7_newframe1 : IN STD_LOGIC; -- Indique la réception d'une nouvelle trame
|
58 |
|
|
l7_l2ok1 : IN STD_LOGIC; -- Indique si la couche transport est bonne ou non
|
59 |
|
|
l7_overflow1 : IN STD_LOGIC; -- Indique un overflow sur réception
|
60 |
|
|
activity1 : IN STD_LOGIC; -- Indique une trame sur le port 1 (couche 2)
|
61 |
|
|
|
62 |
|
|
-- Interfaces de lecture des trames port 2
|
63 |
|
|
l7_rx2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);-- Données reçues sur port 2
|
64 |
|
|
l7_soc2 : IN STD_LOGIC; -- Indique le début d'une trame
|
65 |
|
|
l7_rd2 : OUT STD_LOGIC; -- Signal de lecture d'une donnée supplémentaire
|
66 |
|
|
l7_comdispo2 : IN STD_LOGIC; -- Indique qu'il y'a au moins une trame de dispo
|
67 |
|
|
l7_newframe2 : IN STD_LOGIC; -- Indique la réception d'une nouvelle trame
|
68 |
|
|
l7_l2ok2 : IN STD_LOGIC; -- Indique si la couche transport est bonne ou non
|
69 |
|
|
l7_overflow2 : IN STD_LOGIC; -- Indique un overflow sur réception
|
70 |
|
|
activity2 : IN STD_LOGIC; -- Indique une trame sur le port 2 (couche 2)
|
71 |
|
|
|
72 |
|
|
-- Interface d'écriture des trames
|
73 |
|
|
tx_dat : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);-- Données à transmettre sur les 2 ports
|
74 |
|
|
val_txdat : OUT STD_LOGIC; -- Validant de chaque octet
|
75 |
|
|
tx_sof : OUT STD_LOGIC; -- Indique le début d'une trame
|
76 |
|
|
tx_eof : OUT STD_LOGIC; -- Indique la fin d'une trame
|
77 |
|
|
txdat_free : IN STD_LOGIC; -- Indique que la couche transport en tx est libre
|
78 |
|
|
clr_fifo_tx : OUT STD_LOGIC; -- Permet de purger les FIFO Tx
|
79 |
|
|
|
80 |
|
|
-- Gestion de l'interface SPI PROM
|
81 |
|
|
txprom_dat : OUT STD_LOGIC_VECTOR(7 downto 0);-- Donnée + commandes à écrire dans le module de reprog
|
82 |
|
|
txprom_val : OUT STD_LOGIC; -- Validant de txprom_data
|
83 |
|
|
rxprom_dat : IN STD_LOGIC_VECTOR(7 downto 0);-- Donnée lue depuis le module de reprog
|
84 |
|
|
rxprom_val : IN STD_LOGIC; -- Indique qu'il y a des données à lire dans le module de reprog
|
85 |
|
|
rxprom_next : OUT STD_LOGIC; -- Lit une donnée de plus sur txprom_dat
|
86 |
|
|
prom_type_com: OUT STD_LOGIC; -- Type de commande à exécuter (RD ou WR)
|
87 |
|
|
prom_exec_com: OUT STD_LOGIC; -- Lance une commande dans le module de reprog
|
88 |
|
|
prom_busy : IN STD_LOGIC; -- Indique que le module de reprog est occupé
|
89 |
|
|
prom_nbread : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);-- Nombre d'octet qu'il faut lire avec une commande de lecture
|
90 |
|
|
prom_rstn : OUT STD_LOGIC -- Reset du module de reprog
|
91 |
|
|
);
|
92 |
|
|
END if_picspi_sil4;
|
93 |
|
|
|
94 |
|
|
ARCHITECTURE rtl of if_picspi_sil4 is
|
95 |
|
|
TYPE fsmtx_state IS (idle_st, senddat_st); -- Machine d'état d'émission sur le SPI
|
96 |
|
|
SIGNAL fsm_tx : fsmtx_state;
|
97 |
|
|
|
98 |
|
|
TYPE fsmrx_state IS (idle_st, pump_st, recdat_st, waitnotempty_st); -- Machine de réception sur SPI
|
99 |
|
|
SIGNAL fsm_rx : fsmrx_state;
|
100 |
|
|
|
101 |
|
|
-- Définition du Mapping mémoire des registre SPI
|
102 |
|
|
CONSTANT adreg_iid : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(0, 7);
|
103 |
|
|
CONSTANT adreg_tid : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(8, 7);
|
104 |
|
|
CONSTANT adreg_ctl : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(9, 7);
|
105 |
|
|
CONSTANT adreg_stat : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(10, 7);
|
106 |
|
|
CONSTANT adreg_rxsize1 : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(11, 7);
|
107 |
|
|
CONSTANT adreg_rxsize2 : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(12, 7);
|
108 |
|
|
CONSTANT adreg_txfree : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(13, 7);
|
109 |
|
|
CONSTANT adreg_fiforx1 : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(14, 7);
|
110 |
|
|
CONSTANT adreg_fiforx2 : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(15, 7);
|
111 |
|
|
CONSTANT adreg_fifotx : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(16, 7);
|
112 |
|
|
CONSTANT adreg_version : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(17, 7);
|
113 |
|
|
CONSTANT adreg_promtx : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(18, 7);
|
114 |
|
|
CONSTANT adreg_promrx : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(19, 7);
|
115 |
|
|
CONSTANT adreg_promctl : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(20, 7);
|
116 |
|
|
CONSTANT adreg_promnbrd : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(21, 7);
|
117 |
|
|
CONSTANT adreg_trafic : STD_LOGIC_VECTOR(6 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(22, 7);
|
118 |
|
|
|
119 |
|
|
-- Définition des registres internes
|
120 |
|
|
SIGNAL reg_tid_spi : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
121 |
|
|
SIGNAL reg_ctl_spi : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
122 |
|
|
SIGNAL reg_stat_spi : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
123 |
|
|
SIGNAL reg_rx1size_spi : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
124 |
|
|
SIGNAL reg_rx2size_spi : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
125 |
|
|
SIGNAL reg_txfree_spi : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
126 |
|
|
SIGNAL reg_fiforx1_spi : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
127 |
|
|
SIGNAL reg_fiforx2_spi : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
128 |
|
|
SIGNAL reg_promctl : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
129 |
|
|
SIGNAL reg_promnbrd : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
130 |
|
|
SIGNAL reg_trafic : STD_LOGIC_VECTOR(7 DOWNTO 0);
|
131 |
|
|
|
132 |
|
|
-- Signaux de gestion de l'interface SPI
|
133 |
|
|
SIGNAL cpt_bitspi : STD_LOGIC_VECTOR(2 DOWNTO 0); -- Compte le nombre de bits sur un cycle SPI
|
134 |
|
|
SIGNAL cptbit_tx : STD_LOGIC_VECTOR(2 DOWNTO 0); -- Compte le nombre de bits en Tx sur le SPI
|
135 |
|
|
SIGNAL adrd_spi : STD_LOGIC_VECTOR(6 DOWNTO 0); -- Bus d'adresse d'accès des registres SPI en rd
|
136 |
|
|
SIGNAL adwr_spi : STD_LOGIC_VECTOR(6 DOWNTO 0); -- Bus d'adresse d'accès des registres SPI en wr
|
137 |
|
|
SIGNAL rwn_spi : STD_LOGIC; -- Mémorise le type d'accès SPI R/Wn
|
138 |
|
|
SIGNAL dat_adn : STD_LOGIC; -- Indique si l'octet en cours sur SPI est une data ou l'adresse
|
139 |
|
|
SIGNAL shifter_spirx : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Registre à déclage de réception SPI
|
140 |
|
|
SIGNAL shifter_spitx : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Registre à déclage d'émission SPI
|
141 |
|
|
SIGNAL spi_encours : STD_LOGIC; -- Indique un cycle SPI en cours
|
142 |
|
|
SIGNAL data_rdspi : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Donnée lue à l'adresse adrd_spi
|
143 |
|
|
SIGNAL wr_reg : STD_LOGIC; -- 1 Pulse pour écrire le registre adwr_spi
|
144 |
|
|
SIGNAL rd_reg : STD_LOGIC; -- 1 pulse pour lire le registre adrd_spi
|
145 |
|
|
SIGNAL latch_rdspi : STD_LOGIC; -- 1 pulse pour latcher la donnée de adrd_spi (lecture non effective pour les FIFO)
|
146 |
|
|
SIGNAL sclk_rise : STD_LOGIC; -- Détection du front montant de sclk
|
147 |
|
|
SIGNAL ssn_rise : STD_LOGIC; -- Détection front montant de ssn avec clk_sys
|
148 |
|
|
SIGNAL ssn_fall : STD_LOGIC; -- Détection front descendant de ssn avec clk_sys
|
149 |
|
|
SIGNAL ssnr : STD_LOGIC_VECTOR(2 DOWNTO 0); -- DFF pour métastab et détection de front de ssn avec clk_sys
|
150 |
|
|
SIGNAL sclkr : STD_LOGIC_VECTOR(2 DOWNTO 0); -- DFF pour métastab et détection de front de sclk avec clk_sys
|
151 |
|
|
SIGNAL front_ssn : STD_LOGIC; -- Détection de front descendant sur ssn avec sclk
|
152 |
|
|
SIGNAL sdi_delayed : STD_LOGIC; -- sdi retardé pour intégrer les timing PIC (Tcko)
|
153 |
|
|
|
154 |
|
|
-- Signaux de gestion interne et changement d'horloge
|
155 |
|
|
SIGNAL difftx_free : STD_LOGIC_VECTOR(10 DOWNTO 0); -- Pour calculer la taille dispo en FIFO Tx sur 8 bits
|
156 |
|
|
SIGNAL fifotx_datacnt: STD_LOGIC_VECTOR(10 DOWNTO 0); -- Pour récuper le nb d'octets utilisé en FIFO Tx
|
157 |
|
|
SIGNAL wr_datatx_spi : STD_LOGIC; -- Ordre d'écriture dans la FIFO Tx
|
158 |
|
|
SIGNAL rd_datatx_sys : STD_LOGIC; -- Ordre de lecture dans la FIFO Tx
|
159 |
|
|
SIGNAL datatx_rd_sys : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Donnée lue dans la FIFO Tx
|
160 |
|
|
SIGNAL fifotx_empty : STD_LOGIC; -- Indique une FFIO Tx vide
|
161 |
|
|
SIGNAL rst_fifotx : STD_LOGIC; -- Effacement FIFO Tx
|
162 |
|
|
SIGNAL cpt_tx : STD_LOGIC_VECTOR(7 DOWNTO 0); -- Compteur d'octet pour relire la FIFO Tx
|
163 |
|
|
SIGNAL start_tx : STD_LOGIC; -- Déclenche l'émission d'une trame stockée en FIFO Tx
|
164 |
|
|
SIGNAL clr_starttx : STD_LOGIC; -- Indique que la trame en FIFO Tx a été émise
|
165 |
|
|
|
166 |
|
|
SIGNAL fiforx_datacnt1: STD_LOGIC_VECTOR(10 DOWNTO 0); -- Nombre d'octet stockés dans FIFO Rx1
|
167 |
|
|
SIGNAL rd_datarx_spi1 : STD_LOGIC; -- Ordre de lecture dans la FIFO Rx1
|
168 |
|
|
SIGNAL fiforx_empty1 : STD_LOGIC; -- FIFO Rx1 vide
|
169 |
|
|
|
170 |
|
|
SIGNAL fiforx_datacnt2: STD_LOGIC_VECTOR(10 DOWNTO 0); -- Nombre d'octet stockés dans FIFO Rx2
|
171 |
|
|
SIGNAL rd_datarx_spi2 : STD_LOGIC; -- Ordre de lecture dans la FIFO Rx2
|
172 |
|
|
SIGNAL fiforx_empty2 : STD_LOGIC; -- FIFO Rx2 vide
|
173 |
|
|
|
174 |
|
|
SIGNAL l7_rd : STD_LOGIC; -- Demande un octet de plus sur le bus l7_rx1 ou l7_rx2
|
175 |
|
|
SIGNAL l7_rd1buf : STD_LOGIC; -- Demande un octet de plus sur le bus l7_rx1
|
176 |
|
|
SIGNAL l7_rd2buf : STD_LOGIC; -- Demande un octet de plus sur le bus l7_rx2
|
177 |
|
|
SIGNAL sel_voie : STD_LOGIC; -- sélectionne la voie 1 ou 2 pour récupérer des donnée l7
|
178 |
|
|
SIGNAL frm1 : STD_LOGIC; -- Indique que des données sont dispo en FIFO Rx1
|
179 |
|
|
SIGNAL frm2 : STD_LOGIC; -- Indique que des données sont dispo en FIFO Rx2
|
180 |
|
|
SIGNAL comdispo : STD_LOGIC; -- Indique une trame l7 dispo sur la voie sélectionnée
|
181 |
|
|
SIGNAL soc : STD_LOGIC; -- Indqiue un début de trame pour la voie sélectionnée
|
182 |
|
|
|
183 |
|
|
SIGNAL mem_activity1 : STD_LOGIC; -- Pour mémoriser une acitivté sur le port 1
|
184 |
|
|
SIGNAL mem_activity2 : STD_LOGIC; -- Pour mémoriser une acitivté sur le port 2
|
185 |
|
|
|
186 |
|
|
COMPONENT fifotx_spi
|
187 |
|
|
PORT (
|
188 |
|
|
rst : IN STD_LOGIC;
|
189 |
|
|
clk : IN STD_LOGIC;
|
190 |
|
|
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
|
191 |
|
|
wr_en : IN STD_LOGIC;
|
192 |
|
|
rd_en : IN STD_LOGIC;
|
193 |
|
|
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
|
194 |
|
|
full : OUT STD_LOGIC;
|
195 |
|
|
empty : OUT STD_LOGIC;
|
196 |
|
|
data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
|
197 |
|
|
);
|
198 |
|
|
END COMPONENT;
|
199 |
|
|
|
200 |
|
|
COMPONENT fiforx_spi
|
201 |
|
|
PORT (
|
202 |
|
|
rst : IN STD_LOGIC;
|
203 |
|
|
clk : IN STD_LOGIC;
|
204 |
|
|
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
|
205 |
|
|
wr_en : IN STD_LOGIC;
|
206 |
|
|
rd_en : IN STD_LOGIC;
|
207 |
|
|
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
|
208 |
|
|
full : OUT STD_LOGIC;
|
209 |
|
|
empty : OUT STD_LOGIC;
|
210 |
|
|
data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0)
|
211 |
|
|
);
|
212 |
|
|
END COMPONENT;
|
213 |
|
|
|
214 |
|
|
BEGIN
|
215 |
|
|
--------------------------------------------
|
216 |
|
|
-- Module de Delay du SDI
|
217 |
|
|
--------------------------------------------
|
218 |
|
|
IODELAY2_inst : IODELAY2
|
219 |
|
|
generic map (
|
220 |
|
|
COUNTER_WRAPAROUND => "WRAPAROUND", -- "STAY_AT_LIMIT" or "WRAPAROUND"
|
221 |
|
|
DATA_RATE => "SDR", -- "SDR" or "DDR"
|
222 |
|
|
DELAY_SRC => "IDATAIN", -- "IO", "ODATAIN" or "IDATAIN"
|
223 |
|
|
IDELAY2_VALUE => 0, -- Delay value when IDELAY_MODE="PCI" (0-255)
|
224 |
|
|
IDELAY_MODE => "NORMAL", -- "NORMAL" or "PCI"
|
225 |
|
|
IDELAY_TYPE => "FIXED", -- "FIXED", "DEFAULT", "VARIABLE_FROM_ZERO", "VARIABLE_FROM_HALF_MAX"
|
226 |
|
|
-- or "DIFF_PHASE_DETECTOR"
|
227 |
|
|
IDELAY_VALUE => 71, -- Amount of taps for fixed input delay (0-255) : Calé à 2.8ns
|
228 |
|
|
ODELAY_VALUE => 0, -- Amount of taps fixed output delay (0-255)
|
229 |
|
|
SERDES_MODE => "NONE", -- "NONE", "MASTER" or "SLAVE"
|
230 |
|
|
SIM_TAPDELAY_VALUE => 71 -- Per tap delay used for simulation in ps
|
231 |
|
|
)
|
232 |
|
|
port map (
|
233 |
|
|
BUSY => OPEN, -- 1-bit output: Busy output after CAL
|
234 |
|
|
DATAOUT => sdi_delayed,-- 1-bit output: Delayed data output to ISERDES/input register
|
235 |
|
|
DATAOUT2 => OPEN, -- 1-bit output: Delayed data output to general FPGA fabric
|
236 |
|
|
DOUT => OPEN, -- 1-bit output: Delayed data output
|
237 |
|
|
TOUT => OPEN, -- 1-bit output: Delayed 3-state output
|
238 |
|
|
CAL => '0', -- 1-bit input: Initiate calibration input
|
239 |
|
|
CE => '0', -- 1-bit input: Enable INC input
|
240 |
|
|
CLK => '0', -- 1-bit input: Clock input
|
241 |
|
|
IDATAIN => sdi, -- 1-bit input: Data input (connect to top-level port or I/O buffer)
|
242 |
|
|
INC => '0', -- 1-bit input: Increment / decrement input
|
243 |
|
|
IOCLK0 => '0', -- 1-bit input: Input from the I/O clock network
|
244 |
|
|
IOCLK1 => '0', -- 1-bit input: Input from the I/O clock network
|
245 |
|
|
ODATAIN => '0', -- 1-bit input: Output data input from output register or OSERDES2.
|
246 |
|
|
RST => '0', -- 1-bit input: Reset to zero or 1/2 of total delay period
|
247 |
|
|
T => '0' -- 1-bit input: 3-state input signal
|
248 |
|
|
);
|
249 |
|
|
|
250 |
|
|
--------------------------------------------
|
251 |
|
|
-- Process de desérialisation du SDI (sur sclk)
|
252 |
|
|
--------------------------------------------
|
253 |
|
|
serrx_spi : PROCESS(sclk)
|
254 |
|
|
BEGIN
|
255 |
|
|
IF (sclk'EVENT AND sclk = '1') THEN
|
256 |
|
|
shifter_spirx <= shifter_spirx(6 DOWNTO 0) & sdi_delayed; -- On déserialise tout le temps
|
257 |
|
|
END IF;
|
258 |
|
|
END PROCESS;
|
259 |
|
|
|
260 |
|
|
--------------------------------------------
|
261 |
|
|
-- Process de sérialisation du SDo (sur sclk)
|
262 |
|
|
--------------------------------------------
|
263 |
|
|
sertx_spi : PROCESS(sclk, ssn)
|
264 |
|
|
BEGIN
|
265 |
|
|
IF (ssn = '1') THEN
|
266 |
|
|
-- Tant que le ssn n'est pas actif, on reste inactif
|
267 |
|
|
shifter_spitx <= (OTHERS => '0');
|
268 |
|
|
cptbit_tx <= "000";
|
269 |
|
|
front_ssn <= '1';
|
270 |
|
|
ELSIF (sclk'EVENT and sclk = '0') THEN
|
271 |
|
|
-- Sur front descendant de sclk
|
272 |
|
|
front_ssn <= '0'; -- On mémorise le 1er coup d'horloge suite à ssn
|
273 |
|
|
cptbit_tx <= cptbit_tx + 1; -- a chaque sclk on compte 1 bit
|
274 |
|
|
IF (cptbit_tx = "000")THEN
|
275 |
|
|
-- Pour le 1er sclk de chaque octet
|
276 |
|
|
IF (front_ssn = '1') THEN
|
277 |
|
|
-- si c'est le 1er octet (front_ssn pas encore à '0')
|
278 |
|
|
shifter_spitx <= reg_stat_spi; -- On va émettre le registre de status
|
279 |
|
|
ELSE
|
280 |
|
|
-- si c'eux sont les octets suivants, on va émettre la donnée lue dans un registre
|
281 |
|
|
shifter_spitx <= data_rdspi;
|
282 |
|
|
END IF;
|
283 |
|
|
ELSE
|
284 |
|
|
-- Pour tous les autres bist, on fait un shift
|
285 |
|
|
shifter_spitx <= shifter_spitx(6 DOWNTO 0) & '0';
|
286 |
|
|
END IF;
|
287 |
|
|
END IF;
|
288 |
|
|
END PROCESS;
|
289 |
|
|
sdo <= shifter_spitx(7);
|
290 |
|
|
|
291 |
|
|
--------------------------------------------
|
292 |
|
|
-- Process de gestion d'un cycle SPI
|
293 |
|
|
-- Un cycle SPI permet de lire et d'écrire si besoin le même registre
|
294 |
|
|
-- Un cycle SPI permet de traiter un nombre variable d'octet inconnu au départ
|
295 |
|
|
-- Pour des raions de timing, la lecture d'un registre est anticipée
|
296 |
|
|
-- mais rendue effective que si le PIC la veut vraiment (i.e. le cycle SPI n'est pas
|
297 |
|
|
-- interrompu avant)
|
298 |
|
|
--------------------------------------------
|
299 |
|
|
managespi : PROCESS(clk_sys, rst_n)
|
300 |
|
|
BEGIN
|
301 |
|
|
IF (rst_n = '0') THEN
|
302 |
|
|
ssnr <= "111";
|
303 |
|
|
sclkr <= "111";
|
304 |
|
|
spi_encours <= '0';
|
305 |
|
|
cpt_bitspi <= "000";
|
306 |
|
|
dat_adn <= '0';
|
307 |
|
|
rwn_spi <= '0';
|
308 |
|
|
adrd_spi <= (OTHERS => '0');
|
309 |
|
|
rd_reg <= '0';
|
310 |
|
|
latch_rdspi <= '0';
|
311 |
|
|
ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
|
312 |
|
|
ssnr <= ssnr(1 DOWNTO 0) & ssn; -- Pour détecter les fronts de ssn à clk_sys
|
313 |
|
|
sclkr <= sclkr(1 DOWNTO 0) & sclk; -- Pour détecter les fronts de sclk à clk_sys
|
314 |
|
|
IF (ssn_fall = '1') THEN
|
315 |
|
|
-- Initialisations sur activation de ssn
|
316 |
|
|
spi_encours <= '1'; -- On est en cours de traitement
|
317 |
|
|
cpt_bitspi <= "000"; -- On comtpe les bits à partir de 0
|
318 |
|
|
dat_adn <= '0'; -- Le 1er octet traité sera l'adresse du registre accédé
|
319 |
|
|
ELSIF (ssn_rise = '1') THEN
|
320 |
|
|
-- A la fin du scycle spi
|
321 |
|
|
spi_encours <= '0';
|
322 |
|
|
ELSE
|
323 |
|
|
-- On a détecté un front descendant de ssn ou bien on est hors cycle spi
|
324 |
|
|
IF (spi_encours = '1') THEN
|
325 |
|
|
-- Si on est dans un cycle spi
|
326 |
|
|
IF (sclk_rise = '1') THEN
|
327 |
|
|
-- Sur chaque front montant de sclk
|
328 |
|
|
cpt_bitspi <= cpt_bitspi + 1; -- On comtpe un bit de plus
|
329 |
|
|
IF (cpt_bitspi = "110") THEN
|
330 |
|
|
-- Si on a déjà reçu 7 bits, le prochain front montant est pour le 8ème en réception
|
331 |
|
|
IF (dat_adn = '0') THEN
|
332 |
|
|
-- Si c'est le 1er octet de la trame SPI
|
333 |
|
|
adrd_spi <= shifter_spirx(6 DOWNTO 0); -- On mémorise l'adresse d'accès
|
334 |
|
|
ELSE
|
335 |
|
|
-- Si c'est une donnée
|
336 |
|
|
adwr_spi <= adrd_spi; -- On mémorise l'adresse ou on vient de lire pour éventuellement pouvoir écrire
|
337 |
|
|
IF (adrd_spi /= adreg_fiforx1 AND
|
338 |
|
|
adrd_spi /= adreg_fiforx2 AND
|
339 |
|
|
adrd_spi /= adreg_fifotx AND
|
340 |
|
|
adrd_spi /= adreg_promtx AND
|
341 |
|
|
adrd_spi /= adreg_promrx) THEN
|
342 |
|
|
-- Si on accède à un registre qui n'est pas une FIFO, on incrémente le pointeur d'@
|
343 |
|
|
adrd_spi <= adrd_spi + 1;
|
344 |
|
|
END IF;
|
345 |
|
|
END IF;
|
346 |
|
|
latch_rdspi <= '1'; -- On va latcher la donéne disponible à l'adresse pointée par adrd_spi
|
347 |
|
|
ELSIF (cpt_bitspi = "111") THEN
|
348 |
|
|
-- Si on est au 8ème coup d'horloge
|
349 |
|
|
dat_adn <= '1'; -- On va traiter des donnée à l'octet suivant
|
350 |
|
|
IF (dat_adn = '0') THEN
|
351 |
|
|
-- Si c'est le 1er octet de la trame SPI
|
352 |
|
|
rwn_spi <= shifter_spirx(0); -- On mémorise si c'est une écriture ou une elcture
|
353 |
|
|
END IF;
|
354 |
|
|
ELSIF (cpt_bitspi = "000") THEN
|
355 |
|
|
-- Si on commence un cycle, et qu'on traite des données et qu'on est en lecture
|
356 |
|
|
rd_reg <= dat_adn AND rwn_spi; -- On lit effectivement la donnée dans la registre (utilse pour les FIFO)
|
357 |
|
|
END IF;
|
358 |
|
|
ELSE
|
359 |
|
|
-- En dehors des front de sclk, on assure que les signaux de lecture ne durent qu'un cycle
|
360 |
|
|
rd_reg <= '0';
|
361 |
|
|
latch_rdspi <= '0';
|
362 |
|
|
END IF;
|
363 |
|
|
ELSE
|
364 |
|
|
-- En dehors d'un cycle SPI, on assure qu'on fait pas de lecture non voulue
|
365 |
|
|
rd_reg <= '0';
|
366 |
|
|
latch_rdspi <= '0';
|
367 |
|
|
END IF;
|
368 |
|
|
END IF;
|
369 |
|
|
END IF;
|
370 |
|
|
END PROCESS;
|
371 |
|
|
-- On écrit une donnée dans un registre si:
|
372 |
|
|
-- - on triate des données
|
373 |
|
|
-- - on a reçu les 8 bits de données
|
374 |
|
|
-- - on a détecté un front montant de sclk
|
375 |
|
|
-- - on est en write
|
376 |
|
|
wr_reg <= dat_adn AND NOT(rwn_spi) WHEN (sclk_rise = '1' AND cpt_bitspi = "111") ELSE '0';
|
377 |
|
|
-- Décodage du front descendant de ssn
|
378 |
|
|
ssn_fall <= ssnr(2) AND NOT(ssnr(1));
|
379 |
|
|
-- Décodage du front montant de ssn
|
380 |
|
|
ssn_rise <= NOT(ssnr(2)) AND ssnr(1);
|
381 |
|
|
-- Décodage du front montant de sclk
|
382 |
|
|
sclk_rise <= NOT(sclkr(2)) AND sclkr(1);
|
383 |
|
|
|
384 |
|
|
--------------------------------------------
|
385 |
|
|
-- Process de latch d'un registre en lecture
|
386 |
|
|
--------------------------------------------
|
387 |
|
|
mux_read: PROCESS(clk_sys, rst_n)
|
388 |
|
|
BEGIN
|
389 |
|
|
IF (rst_n = '0') THEN
|
390 |
|
|
data_rdspi <= (OTHERS => '0');
|
391 |
|
|
ELSIF (clk_sys'event AND clk_sys ='1') THEN
|
392 |
|
|
IF (latch_rdspi = '1') THEN
|
393 |
|
|
-- Le letch est piloté par le module de gestion SPI
|
394 |
|
|
CASE adrd_spi IS
|
395 |
|
|
WHEN adreg_iid => data_rdspi <= iid(63 DOWNTO 56);
|
396 |
|
|
WHEN adreg_iid+1 => data_rdspi <= iid(55 DOWNTO 48);
|
397 |
|
|
WHEN adreg_iid+2 => data_rdspi <= iid(47 DOWNTO 40);
|
398 |
|
|
WHEN adreg_iid+3 => data_rdspi <= iid(39 DOWNTO 32);
|
399 |
|
|
WHEN adreg_iid+4 => data_rdspi <= iid(31 DOWNTO 24);
|
400 |
|
|
WHEN adreg_iid+5 => data_rdspi <= iid(23 DOWNTO 16);
|
401 |
|
|
WHEN adreg_iid+6 => data_rdspi <= iid(15 DOWNTO 8);
|
402 |
|
|
WHEN adreg_iid+7 => data_rdspi <= iid(7 DOWNTO 0);
|
403 |
|
|
WHEN adreg_tid => data_rdspi <= reg_tid_spi;
|
404 |
|
|
WHEN adreg_ctl => data_rdspi <= reg_ctl_spi;
|
405 |
|
|
WHEN adreg_stat => data_rdspi <= reg_stat_spi;
|
406 |
|
|
WHEN adreg_rxsize1=> data_rdspi <= reg_rx1size_spi;
|
407 |
|
|
WHEN adreg_rxsize2=> data_rdspi <= reg_rx2size_spi;
|
408 |
|
|
WHEN adreg_txfree => data_rdspi <= reg_txfree_spi;
|
409 |
|
|
WHEN adreg_fiforx1=> data_rdspi <= reg_fiforx1_spi;
|
410 |
|
|
WHEN adreg_fiforx2=> data_rdspi <= reg_fiforx2_spi;
|
411 |
|
|
-- WHEN adreg_fifotx => data_rdspi <= dummy -- Ce registre est Write Only
|
412 |
|
|
WHEN adreg_version=> data_rdspi <= version;
|
413 |
|
|
WHEN adreg_promctl=> data_rdspi <= rxprom_val & reg_promctl(6 DOWNTO 4) & prom_busy & reg_promctl(2 DOWNTO 0);
|
414 |
|
|
WHEN adreg_promnbrd=>data_rdspi <= reg_promnbrd;
|
415 |
|
|
-- WHEN adreg_promtx => data_rdspi <= dummy -- Ce registre est Write Only
|
416 |
|
|
WHEN adreg_promrx=> data_rdspi <= rxprom_dat;
|
417 |
|
|
WHEN adreg_trafic=> data_rdspi <= reg_trafic;
|
418 |
|
|
WHEN OTHERS => data_rdspi <= reg_stat_spi;
|
419 |
|
|
END CASE;
|
420 |
|
|
END IF;
|
421 |
|
|
END IF;
|
422 |
|
|
END PROCESS;
|
423 |
|
|
|
424 |
|
|
--------------------------------------------
|
425 |
|
|
-- Process de gestion des écritures dans les registres
|
426 |
|
|
--------------------------------------------
|
427 |
|
|
write_reg : PROCESS(clk_sys, rst_n)
|
428 |
|
|
BEGIN
|
429 |
|
|
IF (rst_n = '0') THEN
|
430 |
|
|
reg_tid_spi <= x"8F";
|
431 |
|
|
reg_ctl_spi <= x"84";
|
432 |
|
|
reg_promctl <= x"00";
|
433 |
|
|
reg_promnbrd <= x"00";
|
434 |
|
|
ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
|
435 |
|
|
IF (wr_reg = '1') THEN
|
436 |
|
|
-- L'écriture est pilotée par le module de gestion SPI
|
437 |
|
|
CASE adwr_spi IS
|
438 |
|
|
WHEN adreg_tid => reg_tid_spi <= shifter_spirx;
|
439 |
|
|
WHEN adreg_ctl =>
|
440 |
|
|
-- Le bit 3 du registre de controle est traqité à part
|
441 |
|
|
reg_ctl_spi(7 DOWNTO 5) <= shifter_spirx(7 DOWNTO 5);
|
442 |
|
|
reg_ctl_spi(2 DOWNTO 0) <= shifter_spirx(2 DOWNTO 0);
|
443 |
|
|
WHEN adreg_promnbrd => reg_promnbrd <= shifter_spirx;
|
444 |
|
|
WHEN OTHERS =>
|
445 |
|
|
END CASE;
|
446 |
|
|
END IF;
|
447 |
|
|
IF (clr_starttx = '1') THEN
|
448 |
|
|
-- Si on a fini de traiter une trame en Tx
|
449 |
|
|
reg_ctl_spi(3) <= '0'; -- On l'indique dans le bit concerné
|
450 |
|
|
ELSE
|
451 |
|
|
IF (wr_reg = '1') AND (adwr_spi = adreg_ctl) AND (shifter_spirx(3) = '1') THEN
|
452 |
|
|
-- Le PIC de peut écrire que un '1' dans le bit 3 du registre de controle
|
453 |
|
|
reg_ctl_spi(3) <= '1';
|
454 |
|
|
END IF;
|
455 |
|
|
END IF;
|
456 |
|
|
IF (wr_reg = '1' AND adwr_spi = adreg_promctl) THEN
|
457 |
|
|
reg_promctl <= shifter_spirx;
|
458 |
|
|
ELSE
|
459 |
|
|
-- Le bit 3 ne doit durer qu'un seul coup de clk_sys
|
460 |
|
|
reg_promctl(3) <= '0';
|
461 |
|
|
END IF;
|
462 |
|
|
END IF;
|
463 |
|
|
END PROCESS;
|
464 |
|
|
-- Affectation des sorties en fonction des registres internes
|
465 |
|
|
cpy1 <= reg_ctl_spi(0);
|
466 |
|
|
cpy2 <= reg_ctl_spi(1);
|
467 |
|
|
rst_fifotx <= reg_ctl_spi(2);
|
468 |
|
|
start_tx <= reg_ctl_spi(3);
|
469 |
|
|
topcyc <= reg_ctl_spi(4);
|
470 |
|
|
enafiltdble <= reg_ctl_spi(6);
|
471 |
|
|
repli <= reg_ctl_spi(7);
|
472 |
|
|
tid <= reg_tid_spi;
|
473 |
|
|
|
474 |
|
|
--------------------------------------------
|
475 |
|
|
-- Process de gestion du registre de status
|
476 |
|
|
-- Les bits de status mémorisent un évènement
|
477 |
|
|
-- Ils ne sont remis à '0' que par ériture d'un '1'
|
478 |
|
|
--------------------------------------------
|
479 |
|
|
gest_stat : PROCESS(clk_sys, rst_n)
|
480 |
|
|
BEGIN
|
481 |
|
|
IF (rst_n = '0') THEN
|
482 |
|
|
reg_stat_spi(7 DOWNTO 2) <= (OTHERS => '0');
|
483 |
|
|
ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
|
484 |
|
|
IF (l7_newframe1 = '1' AND l7_l2ok1 = '0') THEN
|
485 |
|
|
-- Détection d'une trame erronnée sur Rx1
|
486 |
|
|
reg_stat_spi(2) <= '1';
|
487 |
|
|
ELSIF (wr_reg = '1' AND adwr_spi = adreg_stat) THEN
|
488 |
|
|
reg_stat_spi(2) <= reg_stat_spi(2) AND NOT(shifter_spirx(2));
|
489 |
|
|
END IF;
|
490 |
|
|
IF (l7_newframe2 = '1' AND l7_l2ok2 = '0') THEN
|
491 |
|
|
-- Détection d'une trame erronnée sur Rx2
|
492 |
|
|
reg_stat_spi(3) <= '1';
|
493 |
|
|
ELSIF (wr_reg = '1' AND adwr_spi = adreg_stat) THEN
|
494 |
|
|
reg_stat_spi(3) <= reg_stat_spi(3) AND NOT(shifter_spirx(3));
|
495 |
|
|
END IF;
|
496 |
|
|
IF (l7_overflow1 = '1') THEN
|
497 |
|
|
-- Détection d'un overflow sur Rx1
|
498 |
|
|
reg_stat_spi(4) <= '1';
|
499 |
|
|
ELSIF (wr_reg = '1' AND adwr_spi = adreg_stat) THEN
|
500 |
|
|
reg_stat_spi(4) <= reg_stat_spi(4) AND NOT(shifter_spirx(4));
|
501 |
|
|
END IF;
|
502 |
|
|
IF (l7_overflow2 = '1') THEN
|
503 |
|
|
-- Détection d'un overflow sur Rx1
|
504 |
|
|
reg_stat_spi(5) <= '1';
|
505 |
|
|
ELSIF (wr_reg = '1' AND adwr_spi = adreg_stat) THEN
|
506 |
|
|
reg_stat_spi(5) <= reg_stat_spi(5) AND NOT(shifter_spirx(5));
|
507 |
|
|
END IF;
|
508 |
|
|
reg_stat_spi(6) <= NOT(fifotx_empty); -- Indique que la FIFO Tx n'est pas vide
|
509 |
|
|
END IF;
|
510 |
|
|
END PROCESS;
|
511 |
|
|
reg_stat_spi(1 DOWNTO 0) <= frm2 & frm1; -- Indication que les FIFO Rx sont pas vides
|
512 |
|
|
|
513 |
|
|
--------------------------------------------
|
514 |
|
|
-- Process de gestion du registre TRAFIC
|
515 |
|
|
--------------------------------------------
|
516 |
|
|
gest_trafic : PROCESS(clk_sys, rst_n)
|
517 |
|
|
BEGIN
|
518 |
|
|
IF (rst_n = '0') THEN
|
519 |
|
|
reg_trafic <= x"03";
|
520 |
|
|
mem_activity1 <= '1';
|
521 |
|
|
mem_activity2 <= '1';
|
522 |
|
|
ELSIF (clk_sys'EVENT AND clk_sys = '1') THEN
|
523 |
|
|
IF (wr_reg = '1' AND adwr_spi = adreg_ctl AND shifter_spirx(4) = '1') THEN
|
524 |
|
|
-- Si on a une indication de début de cycle
|
525 |
|
|
reg_trafic <= "000000" & mem_activity2 & mem_activity1; -- On met à jour le registre traffic
|
526 |
|
|
mem_activity1 <= activity1; -- On réinit la mémorisation de trafic
|
527 |
|
|
mem_activity2 <= activity2;
|
528 |
|
|
ELSE
|
529 |
|
|
-- Entre 2 début de cycle
|
530 |
|
|
IF (activity1 = '1') THEN
|
531 |
|
|
-- Si activité sur le port 1, on le mémorise
|
532 |
|
|
mem_activity1 <= '1';
|
533 |
|
|
END IF;
|
534 |
|
|
IF (activity2 = '1') THEN
|
535 |
|
|
mem_activity2 <= '1';
|
536 |
|
|
END IF;
|
537 |
|
|
END IF;
|
538 |
|
|
END IF;
|
539 |
|
|
END PROCESS;
|
540 |
|
|
|
541 |
|
|
--------------------------------------------
|
542 |
|
|
-- Process de gestion de la FIFO Tx
|
543 |
|
|
--------------------------------------------
|
544 |
|
|
difftx_free <= "10000000010" - fifotx_datacnt; -- Calcul du nombre d'octets dispo dans la FIFO 1026-cnt
|
545 |
|
|
reg_txfree_spi <= x"FF" WHEN difftx_free(10 DOWNTO 8) /= "000" ELSE -- Si txfree >=256 on tronque le résultat à 255
|
546 |
|
|
difftx_free(7 DOWNTO 0); -- Sinon on donne le résultat
|
547 |
|
|
|
548 |
|
|
-- Condition d'écriture d'un octet dans la FIFO TX
|
549 |
|
|
wr_datatx_spi <= '1' WHEN (wr_reg = '1' AND adwr_spi = adreg_fifotx) ELSE '0';
|
550 |
|
|
|
551 |
|
|
clr_fifo_tx <= '0'; -- Spare pour l'instant on ne fait pas de clear de la fifo tx aval
|
552 |
|
|
|
553 |
|
|
-- On lit un octet dans la FIFO TX au début lorsuq'on détecte qu'elle n'est plus vide et que le PIC demande de transmettre (start_tx)
|
554 |
|
|
-- ou bien en cours de transfert lorsque le module suivant est dispo
|
555 |
|
|
rd_datatx_sys <= '1' WHEN ((fsm_tx = idle_st AND fifotx_empty = '0' AND start_tx = '1') OR
|
556 |
|
|
(fsm_tx = senddat_st AND txdat_free = '1' AND fifotx_empty = '0')) ELSE
|
557 |
|
|
'0';
|
558 |
|
|
|
559 |
|
|
-- Le valdiant est toujours actif en état d'attente pour démarrer de suite
|
560 |
|
|
-- En téta de transmission, il est conditionné au niveau de remplissage
|
561 |
|
|
val_txdat <= NOT(fifotx_empty) WHEN (fsm_tx = idle_st) ELSE '1';
|
562 |
|
|
-- Donnée lue dans la FIFO à transmettre
|
563 |
|
|
tx_dat <= datatx_rd_sys;
|
564 |
|
|
-- La fin de trame est valide si le module suivant est dispo
|
565 |
|
|
tx_eof <= txdat_free WHEN (fsm_tx = senddat_st AND cpt_tx = "00000001") ELSE '0';
|
566 |
|
|
|
567 |
|
|
gest_fsm_tx : PROCESS(clk_sys, rst_n)
|
568 |
|
|
BEGIN
|
569 |
|
|
IF (rst_n = '0') THEN
|
570 |
|
|
fsm_tx <= idle_st;
|
571 |
|
|
tx_sof <= '0';
|
572 |
|
|
cpt_tx <= (OTHERS => '0');
|
573 |
|
|
clr_starttx <= '0';
|
574 |
|
|
ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
|
575 |
|
|
CASE fsm_tx IS
|
576 |
|
|
WHEN idle_st =>
|
577 |
|
|
-- Etat d'attente de données dans la FIFO TX
|
578 |
|
|
IF (fifotx_empty = '0' AND start_tx = '1') THEN
|
579 |
|
|
-- Si il y'a des données dans la FIFO TX et que le PIC ordonne le Tx
|
580 |
|
|
cpt_tx <= datatx_rd_sys; -- On initialise le compteur avec la longueur de la trame (1er octet dans la FIFO)
|
581 |
|
|
fsm_tx <= senddat_st; -- On va transmettre des données
|
582 |
|
|
tx_sof <= '1'; -- On active le sof pour signaler un début de trame
|
583 |
|
|
clr_starttx <= '1'; -- On indique qu'on a pris en compte l'ordre de Tx
|
584 |
|
|
END IF;
|
585 |
|
|
|
586 |
|
|
WHEN senddat_st =>
|
587 |
|
|
-- Etat de transfert d'une donnée
|
588 |
|
|
clr_starttx <= '0'; -- Ne dure qu'un seul cycle
|
589 |
|
|
IF (txdat_free = '1') THEN
|
590 |
|
|
-- Les données restent sur le bus tx_dat tant que le module suivant n'est pas libre
|
591 |
|
|
-- i.e. tant qu'il a pas latché la donnée actuelle
|
592 |
|
|
cpt_tx <= cpt_tx - 1; -- Dans ce cas on enregistre une donnee de moins
|
593 |
|
|
tx_sof <= '0'; -- On peut annuler le sof car on est sur que le module suivant l'a pris en comtpe
|
594 |
|
|
IF (cpt_tx = "00000001") THEN -- Lors du dernier octet à transmettre
|
595 |
|
|
fsm_tx <= idle_st; -- On a fini
|
596 |
|
|
END IF;
|
597 |
|
|
END IF;
|
598 |
|
|
|
599 |
|
|
WHEN OTHERS =>
|
600 |
|
|
fsm_tx <= idle_st;
|
601 |
|
|
END CASE;
|
602 |
|
|
END IF;
|
603 |
|
|
END PROCESS;
|
604 |
|
|
|
605 |
|
|
inst_fiftx : fifotx_spi
|
606 |
|
|
PORT MAP (
|
607 |
|
|
rst => rst_fifotx,
|
608 |
|
|
clk => clk_sys,
|
609 |
|
|
din => shifter_spirx,
|
610 |
|
|
wr_en => wr_datatx_spi,
|
611 |
|
|
rd_en => rd_datatx_sys,
|
612 |
|
|
dout => datatx_rd_sys,
|
613 |
|
|
full => OPEN,
|
614 |
|
|
empty => fifotx_empty,
|
615 |
|
|
data_count => fifotx_datacnt
|
616 |
|
|
);
|
617 |
|
|
|
618 |
|
|
--------------------------------------------
|
619 |
|
|
-- Process de gestion des FIFO Rx
|
620 |
|
|
--------------------------------------------
|
621 |
|
|
-- Ordre de lecture dans les FIFO Rx
|
622 |
|
|
rd_datarx_spi1 <= '1' WHEN (rd_reg = '1' AND adrd_spi = adreg_fiforx1) ELSE '0';
|
623 |
|
|
rd_datarx_spi2 <= '1' WHEN (rd_reg = '1' AND adrd_spi = adreg_fiforx2) ELSE '0';
|
624 |
|
|
|
625 |
|
|
-- On transfère des données dans la FIFO Rx trame par trame. On s'arrête
|
626 |
|
|
l7_rd1buf <= (l7_rd AND NOT(sel_voie) AND comdispo AND NOT(soc)) WHEN (fsm_rx = recdat_st) ELSE
|
627 |
|
|
(l7_rd AND NOT(sel_voie));
|
628 |
|
|
l7_rd1 <= l7_rd1buf;
|
629 |
|
|
l7_rd2buf <= (l7_rd AND sel_voie AND comdispo AND NOT(soc)) WHEN (fsm_rx = recdat_st) ELSE
|
630 |
|
|
(l7_rd AND sel_voie);
|
631 |
|
|
l7_rd2 <= l7_rd2buf;
|
632 |
|
|
|
633 |
|
|
comdispo <= l7_comdispo1 WHEN (sel_voie = '0') ELSE l7_comdispo2;
|
634 |
|
|
soc <= l7_soc1 WHEN (sel_voie = '0') ELSE l7_soc2;
|
635 |
|
|
|
636 |
|
|
gest_fsm_rx : PROCESS(clk_sys, rst_n)
|
637 |
|
|
BEGIN
|
638 |
|
|
IF (rst_n = '0') THEN
|
639 |
|
|
l7_rd <= '0';
|
640 |
|
|
sel_voie <= '0';
|
641 |
|
|
fsm_rx <= idle_st;
|
642 |
|
|
frm2 <= '0';
|
643 |
|
|
frm1 <= '0';
|
644 |
|
|
ELSIF (clk_sys'EVENT and clk_sys = '1') THEN
|
645 |
|
|
CASE fsm_rx IS
|
646 |
|
|
WHEN idle_st =>
|
647 |
|
|
-- Etat d'attente qu'une FIFO Rx1 ou Rx2 soit vide et qu'il y'ait une trame disponible
|
648 |
|
|
-- sur la voie correspondante
|
649 |
|
|
frm1 <= NOT(fiforx_empty1); -- On indique s'il y'a des trames en FIFO Rx
|
650 |
|
|
frm2 <= NOT(fiforx_empty2);
|
651 |
|
|
IF ((l7_comdispo1 = '1' AND fiforx_empty1 = '1') AND
|
652 |
|
|
(l7_comdispo2 = '0' OR fiforx_empty2 = '0' OR sel_voie = '1')) THEN
|
653 |
|
|
-- On ne recopie que si la FIFO de destination est vide pour garantir qu'on ne stocke qu'une trame à la fois
|
654 |
|
|
-- On fait un coup la FIFO Rx1 un coup la Rx2 pour équilibrer les niveaux
|
655 |
|
|
sel_voie <= '0'; -- On sélectionne la voie Rx1
|
656 |
|
|
l7_rd <= '1'; -- On commence à lire dans la DPRAM
|
657 |
|
|
fsm_rx <= pump_st;
|
658 |
|
|
ELSIF (l7_comdispo2 = '1' AND fiforx_empty2 = '1') THEN
|
659 |
|
|
sel_voie <= '1';
|
660 |
|
|
l7_rd <= '1';
|
661 |
|
|
fsm_rx <= pump_st;
|
662 |
|
|
ELSE
|
663 |
|
|
l7_rd <= '0';
|
664 |
|
|
END IF;
|
665 |
|
|
|
666 |
|
|
WHEN pump_st =>
|
667 |
|
|
-- Etat d'amorçgae du flux entre l'ordre de lecture et la mise à disposition de la donnée
|
668 |
|
|
fsm_rx <= recdat_st;
|
669 |
|
|
|
670 |
|
|
WHEN recdat_st =>
|
671 |
|
|
-- Etat de lecture de chaque octet de la trame
|
672 |
|
|
IF (soc = '1' OR comdispo = '0') THEN
|
673 |
|
|
-- On s'arrête sur le début de la trame suivante ou bien sur une DPRAM vide
|
674 |
|
|
l7_rd <= '0';
|
675 |
|
|
fsm_rx <= waitnotempty_st;
|
676 |
|
|
END IF;
|
677 |
|
|
|
678 |
|
|
WHEN waitnotempty_st =>
|
679 |
|
|
-- Etat d'attente que la FIFO de la voie sélectionnée soit indiquée comme non vide
|
680 |
|
|
-- Etat important pour garantir qu'on est pas réentrant dans la machine
|
681 |
|
|
-- en cas de plusieurs petites trames dispo en DPRAM et donc garantir qu'on a
|
682 |
|
|
-- une seule trame en FIFO Rx
|
683 |
|
|
IF ((fiforx_empty1 = '0' AND sel_voie = '0') OR
|
684 |
|
|
(fiforx_empty2 = '0' AND sel_voie = '1')) THEN
|
685 |
|
|
fsm_rx <= idle_st;
|
686 |
|
|
END IF;
|
687 |
|
|
|
688 |
|
|
WHEN OTHERS =>
|
689 |
|
|
fsm_rx <= idle_st;
|
690 |
|
|
END CASE;
|
691 |
|
|
END IF;
|
692 |
|
|
END PROCESS;
|
693 |
|
|
|
694 |
|
|
inst_fifrx1 : fiforx_spi
|
695 |
|
|
PORT MAP (
|
696 |
|
|
rst => NOT(rst_n),
|
697 |
|
|
clk => clk_sys,
|
698 |
|
|
din => l7_rx1,
|
699 |
|
|
wr_en => l7_rd1buf,
|
700 |
|
|
rd_en => rd_datarx_spi1,
|
701 |
|
|
dout => reg_fiforx1_spi,
|
702 |
|
|
full => OPEN,
|
703 |
|
|
empty => fiforx_empty1,
|
704 |
|
|
data_count => fiforx_datacnt1
|
705 |
|
|
);
|
706 |
|
|
-- Taille de la trame dans la FIFO : 255 si >= 256, sinon Nb octets dans la FIFO
|
707 |
|
|
reg_rx1size_spi <= x"FF" WHEN fiforx_datacnt1(10 DOWNTO 8) /= "000" ELSE
|
708 |
|
|
fiforx_datacnt1(7 DOWNTO 0);
|
709 |
|
|
|
710 |
|
|
inst_fifrx2 : fiforx_spi
|
711 |
|
|
PORT MAP (
|
712 |
|
|
rst => NOT(rst_n),
|
713 |
|
|
clk => clk_sys,
|
714 |
|
|
din => l7_rx2,
|
715 |
|
|
wr_en => l7_rd2buf,
|
716 |
|
|
rd_en => rd_datarx_spi2,
|
717 |
|
|
dout => reg_fiforx2_spi,
|
718 |
|
|
full => OPEN,
|
719 |
|
|
empty => fiforx_empty2,
|
720 |
|
|
data_count => fiforx_datacnt2
|
721 |
|
|
);
|
722 |
|
|
-- Taille de la trame dans la FIFO : 255 si >= 256, sinon Nb octets dans la FIFO
|
723 |
|
|
reg_rx2size_spi <= x"FF" WHEN fiforx_datacnt2(10 DOWNTO 8) /= "000" ELSE
|
724 |
|
|
fiforx_datacnt2(7 DOWNTO 0);
|
725 |
|
|
|
726 |
|
|
-------------------------------------------------
|
727 |
|
|
-- Signaux de gestion de l'I/F SPI vers la PROM
|
728 |
|
|
-------------------------------------------------
|
729 |
|
|
txprom_dat <= shifter_spirx; -- Le registre est géré par le module PROM (affectation combinatoire)
|
730 |
|
|
-- Le validant correspondant à un ordre d'écriture valide
|
731 |
|
|
txprom_val <= wr_reg WHEN (adwr_spi = adreg_promtx) ELSE '0';
|
732 |
|
|
-- On récupère une donnée de plsu dans la FIFO PROM avec une elcture valide
|
733 |
|
|
rxprom_next <= rd_reg WHEN (adrd_spi = adreg_promrx) ELSE '0';
|
734 |
|
|
-- Affectation des signaux de controle
|
735 |
|
|
prom_type_com <= reg_promctl(0);
|
736 |
|
|
prom_exec_com <= reg_promctl(3);
|
737 |
|
|
prom_rstn <= reg_promctl(4);
|
738 |
|
|
prom_nbread <= reg_promnbrd;
|
739 |
|
|
|
740 |
|
|
END rtl;
|
741 |
|
|
|