OpenCores
URL https://opencores.org/ocsvn/sc2v/sc2v/trunk

Subversion Repositories sc2v

[/] [sc2v/] [trunk/] [examples/] [delay_line.h] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 jcastillo
#include "systemc.h"
2
 
3
SC_MODULE( delay_line ) {
4
 
5
  sc_in<bool>            clk;
6
  sc_in<bool>            reset;
7
  sc_in<sc_uint<8> >     num_in;
8
  sc_out< sc_uint<8> >   num_out;
9
 
10
  sc_signal<sc_uint<8> > pipe_0;
11
  sc_signal<sc_uint<8> > pipe_1;
12
  sc_signal<sc_uint<8> > pipe_2;
13
  sc_signal<sc_uint<8> > pipe_3;
14
 
15
  void registers();
16
 
17
  SC_CTOR(delay_line) {
18
    SC_METHOD( registers );
19
          sensitive_pos( clk );
20
  }
21
};

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.