OpenCores
URL https://opencores.org/ocsvn/sc2v/sc2v/trunk

Subversion Repositories sc2v

[/] [sc2v/] [trunk/] [examples/] [rng.cpp] - Blame information for rev 38

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jcastillo
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Random Number Generator Top                                 ////
4
////                                                              ////
5
////  This file is part of the SystemC RNG project                ////
6
////                                                              ////
7
////  Description:                                                ////
8
////  Top file of random number generator                         ////
9
////                                                              ////
10
////  To Do:                                                      ////
11
////   - nothing                                                  ////
12
////  Author(s):                                                  ////
13
////      - Javier Castillo, jcastilo@opencores.org               ////
14
////                                                              ////
15
//////////////////////////////////////////////////////////////////////
16
////                                                              ////
17
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
18
////                                                              ////
19
//// This source file may be used and distributed without         ////
20
//// restriction provided that this copyright statement is not    ////
21
//// removed from the file and that any derivative work contains  ////
22
//// the original copyright notice and the associated disclaimer. ////
23
////                                                              ////
24
//// This source file is free software; you can redistribute it   ////
25
//// and/or modify it under the terms of the GNU Lesser General   ////
26
//// Public License as published by the Free Software Foundation; ////
27
//// either version 2.1 of the License, or (at your option) any   ////
28
//// later version.                                               ////
29
////                                                              ////
30
//// This source is distributed in the hope that it will be       ////
31
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
32
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
33
//// PURPOSE.  See the GNU Lesser General Public License for more ////
34
//// details.                                                     ////
35
////                                                              ////
36
//// You should have received a copy of the GNU Lesser General    ////
37
//// Public License along with this source; if not, download it   ////
38
//// from http://www.opencores.org/lgpl.shtml                     ////
39
////                                                              ////
40
//////////////////////////////////////////////////////////////////////
41
//
42
// CVS Revision History
43
//
44
// $Log: not supported by cvs2svn $
45 31 jcastillo
// Revision 1.1.1.1  2004/10/08 14:04:10  jcastillo
46
// First import
47
//
48 2 jcastillo
// Revision 1.2  2004/08/30 17:01:50  jcastillo
49
// Used indent command
50
//
51
// Revision 1.1.1.1  2004/08/19 14:27:14  jcastillo
52
// First import
53
//
54
 
55
#include "rng.h"
56
 
57
void
58
rng::combinate ()
59
{
60
  if (!reset.read ())
61
    {
62
      number_o.write (0);
63
    }
64
  else
65
    {
66
      number_o.write (LFSR_reg.read ().range (31, 0) ^ CASR_reg.read ().range (31, 0));
67
    }
68
}
69
 
70
void
71
rng::LFSR ()
72
{
73
 
74
  sc_uint < 43 > LFSR_var;
75
  bool outbit;
76
 
77
  if (!reset.read ())
78
    {
79
      LFSR_reg.write (1);
80
    }
81
  else
82
    {
83
      if (loadseed_i.read ())
84
        {
85
          LFSR_var.range (42, 31) = 0;
86
          LFSR_var.range (31, 0) = seed_i.read ();
87
          LFSR_reg.write (LFSR_var);
88
 
89
        }
90
      else
91
        {
92
          LFSR_var = LFSR_reg.read ();
93
 
94
          outbit = LFSR_var[42];
95
          LFSR_var[42] = LFSR_var[41];
96
          LFSR_var[41] = LFSR_var[40] ^ outbit;
97
          LFSR_var[40] = LFSR_var[39];
98
          LFSR_var[39] = LFSR_var[38];
99
          LFSR_var[38] = LFSR_var[37];
100
          LFSR_var[37] = LFSR_var[36];
101
          LFSR_var[36] = LFSR_var[35];
102
          LFSR_var[35] = LFSR_var[34];
103
          LFSR_var[34] = LFSR_var[33];
104
          LFSR_var[33] = LFSR_var[32];
105
          LFSR_var[32] = LFSR_var[31];
106
          LFSR_var[31] = LFSR_var[30];
107
          LFSR_var[30] = LFSR_var[29];
108
          LFSR_var[29] = LFSR_var[28];
109
          LFSR_var[28] = LFSR_var[27];
110
          LFSR_var[27] = LFSR_var[26];
111
          LFSR_var[26] = LFSR_var[25];
112
          LFSR_var[25] = LFSR_var[24];
113
          LFSR_var[24] = LFSR_var[23];
114
          LFSR_var[23] = LFSR_var[22];
115
          LFSR_var[22] = LFSR_var[21];
116
          LFSR_var[21] = LFSR_var[20];
117
          LFSR_var[20] = LFSR_var[19] ^ outbit;
118
          LFSR_var[19] = LFSR_var[18];
119
          LFSR_var[18] = LFSR_var[17];
120
          LFSR_var[17] = LFSR_var[16];
121
          LFSR_var[16] = LFSR_var[15];
122
          LFSR_var[15] = LFSR_var[14];
123
          LFSR_var[14] = LFSR_var[13];
124
          LFSR_var[13] = LFSR_var[12];
125
          LFSR_var[12] = LFSR_var[11];
126
          LFSR_var[11] = LFSR_var[10];
127
          LFSR_var[10] = LFSR_var[9];
128
          LFSR_var[9] = LFSR_var[8];
129
          LFSR_var[8] = LFSR_var[7];
130
          LFSR_var[7] = LFSR_var[6];
131
          LFSR_var[6] = LFSR_var[5];
132
          LFSR_var[5] = LFSR_var[4];
133
          LFSR_var[4] = LFSR_var[3];
134
          LFSR_var[3] = LFSR_var[2];
135
          LFSR_var[2] = LFSR_var[1];
136
          LFSR_var[1] = LFSR_var[0] ^ outbit;
137
          LFSR_var[0] = LFSR_var[42];
138
 
139
          LFSR_reg.write (LFSR_var);
140
        }
141
    }
142
}
143
 
144
void
145
rng::CASR ()
146
{
147
 
148
  sc_uint < 43 > CASR_var, CASR_out;
149
 
150
  if (!reset.read ())
151
    {
152
      CASR_reg.write (1);
153
    }
154
  else
155
    {
156
      if (loadseed_i.read ())
157
        {
158
          CASR_var.range (36, 31) = 0;
159
          CASR_var.range (31, 0) = seed_i.read ();
160
          CASR_reg.write (CASR_var);
161
 
162
        }
163
      else
164
        {
165
          CASR_var = CASR_reg.read ();
166
 
167
          CASR_out[36] = CASR_var[35] ^ CASR_var[0];
168
          CASR_out[35] = CASR_var[34] ^ CASR_var[36];
169
          CASR_out[34] = CASR_var[33] ^ CASR_var[35];
170
          CASR_out[33] = CASR_var[32] ^ CASR_var[34];
171
          CASR_out[32] = CASR_var[31] ^ CASR_var[33];
172
          CASR_out[31] = CASR_var[30] ^ CASR_var[32];
173
          CASR_out[30] = CASR_var[29] ^ CASR_var[31];
174
          CASR_out[29] = CASR_var[28] ^ CASR_var[30];
175
          CASR_out[28] = CASR_var[27] ^ CASR_var[29];
176
          CASR_out[27] = CASR_var[26] ^ CASR_var[28];
177
          CASR_out[26] = CASR_var[25] ^ CASR_var[27];
178
          CASR_out[25] = CASR_var[24] ^ CASR_var[26];
179
          CASR_out[24] = CASR_var[23] ^ CASR_var[25];
180
          CASR_out[23] = CASR_var[22] ^ CASR_var[24];
181
          CASR_out[22] = CASR_var[21] ^ CASR_var[23];
182
          CASR_out[21] = CASR_var[20] ^ CASR_var[22];
183
          CASR_out[20] = CASR_var[19] ^ CASR_var[21];
184
          CASR_out[19] = CASR_var[18] ^ CASR_var[20];
185
          CASR_out[18] = CASR_var[17] ^ CASR_var[19];
186
          CASR_out[17] = CASR_var[16] ^ CASR_var[18];
187
          CASR_out[16] = CASR_var[15] ^ CASR_var[17];
188
          CASR_out[15] = CASR_var[14] ^ CASR_var[16];
189
          CASR_out[14] = CASR_var[13] ^ CASR_var[15];
190
          CASR_out[13] = CASR_var[12] ^ CASR_var[14];
191
          CASR_out[12] = CASR_var[11] ^ CASR_var[13];
192
          CASR_out[11] = CASR_var[10] ^ CASR_var[12];
193
          CASR_out[10] = CASR_var[9] ^ CASR_var[11];
194
          CASR_out[9] = CASR_var[8] ^ CASR_var[10];
195
          CASR_out[8] = CASR_var[7] ^ CASR_var[9];
196
          CASR_out[7] = CASR_var[6] ^ CASR_var[8];
197
          CASR_out[6] = CASR_var[5] ^ CASR_var[7];
198
          CASR_out[5] = CASR_var[4] ^ CASR_var[6];
199
          CASR_out[4] = CASR_var[3] ^ CASR_var[5];
200
          CASR_out[3] = CASR_var[2] ^ CASR_var[4];
201
          CASR_out[2] = CASR_var[1] ^ CASR_var[3];
202
          CASR_out[1] = CASR_var[0] ^ CASR_var[2];
203
          CASR_out[0] = CASR_var[36] ^ CASR_var[1];
204
 
205
          CASR_reg.write (CASR_out);
206
        }
207
    }
208
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.