OpenCores
URL https://opencores.org/ocsvn/sc2v/sc2v/trunk

Subversion Repositories sc2v

[/] [sc2v/] [trunk/] [examples/] [sc_ex1.h] - Blame information for rev 36

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 28 jcastillo
#include "systemc.h"
2
 
3
 
4
SC_MODULE( icu ) {                   // module name
5
 
6
  sc_in<bool>            clk;
7
  sc_in<bool>            reset;
8
  sc_in<bool>            alu_ready;
9
  sc_in<sc_uint<4> >     instr_in;
10
  sc_out<bool>           alu_start;
11
  sc_out< sc_uint<8> >   control_out;
12
 
13
  /*
14
  sc_signal<sc_uint<8> > pipe_0;  // internal "globals"
15
  sc_signal<sc_uint<8> > pipe_1;
16
  sc_signal<sc_uint<8> > pipe_2;
17
  sc_signal<sc_uint<8> > pipe_3;
18
  */
19
 
20
  void decoder_io();                 // tasks in cpp (fns?)
21
 
22
  SC_CTOR(icu) {                     // constructors for module
23
    SC_METHOD( decoder_io );         // list of methods
24
    sensitive_pos( clk );            //   event (this pos edge)
25
    // if others here forms OR to make event
26
  }
27
};

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.