OpenCores
URL https://opencores.org/ocsvn/sc2v/sc2v/trunk

Subversion Repositories sc2v

[/] [sc2v/] [trunk/] [examples/] [stmach_k.h] - Blame information for rev 38

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 jcastillo
// stmach_k.h 
2
#include "systemc.h" 
3
SC_MODULE (stmach_k)
4
{
5
  sc_in < bool > clk;
6
  sc_in < sc_uint < 4 > >key;
7
  sc_out < bool > play;
8
  sc_out < bool > recrd;
9
  sc_out < bool > erase;
10
 
11
    /*comment with // */ sc_out < bool > save;
12
  sc_out < bool > address;      //comment \
13
  also comment 
14
  enum vm_state
15
  { main_st, review_st, repeat_st, save_st,
16
    erase_st, send_st, address_st,
17
    record_st, begin_rec_st, message_st
18
  };
19
  sc_signal < vm_state > next_state;
20
  sc_signal < vm_state > current_state;
21
  void getnextst ();
22
  void setstate ();
23
  SC_CTOR (stmach)
24
  {
25
    SC_METHOD (getnextst);
26
    sensitive << key << current_state;
27
    SC_METHOD (setstate);
28
    sensitive_pos (clk);
29
}
30
};
31
 
32
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.