OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [processor/] [VHDL/] [ext_modules/] [ext_Dis7Seg/] [ext_Dis7Seg_ent.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jlechner
-----------------------------------------------------------------------
2
-- This file is part of SCARTS.
3
-- 
4
-- SCARTS is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
-- 
9
-- SCARTS is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
-- 
14
-- You should have received a copy of the GNU General Public License
15
-- along with SCARTS.  If not, see <http://www.gnu.org/licenses/>.
16
-----------------------------------------------------------------------
17
 
18
 
19
-------------------------------------------------------------------------------
20
-- Title      : 7 Segment Display Architecture
21
-- Project    : SCARTS - Scalable Processor for Embedded Applications in
22
--              Realtime Environment
23
-------------------------------------------------------------------------------
24
-- File       : ext_sysctrl_ent.vhd
25
-- Author     : Dipl. Ing. Martin Delvai
26
-- Company    : TU Wien - Institut fr technische Informatik
27
-- Created    : 2002-02-11
28
-- Last update: 2011-03-20
29
-- Platform   : SUN Solaris 
30
-------------------------------------------------------------------------------
31
-- Description:
32
--
33
-------------------------------------------------------------------------------
34
-- Copyright (c) 2002 
35
-------------------------------------------------------------------------------
36
-- Revisions  :
37
-- Date        Version  Author  Description
38
-- 2002-02-11  1.0      delvai  Created
39
-------------------------------------------------------------------------------
40
 
41
 
42
--------------------------------------------------------------------------------
43
-- LIBRARY
44
--------------------------------------------------------------------------------
45
 
46
LIBRARY IEEE;
47
USE IEEE.std_logic_1164.all;
48
USE work.scarts_pkg.all;
49
 
50
use work.pkg_dis7seg.all;
51
 
52
----------------------------------------------------------------------------------
53
-- ENTITY
54
----------------------------------------------------------------------------------
55
 
56
entity ext_Dis7Seg is
57
  generic (
58
    DIGIT_COUNT : integer range 1 to 8;
59
    MULTIPLEXED : integer range 0 to 1);
60
  port (
61
    clk        : IN  std_logic;
62
    extsel     : in   std_ulogic;
63
    exti       : in  module_in_type;
64
    exto       : out module_out_type;
65
    digits     : out digit_vector_t((DIGIT_COUNT-1) * (1-MULTIPLEXED) downto 0);
66
    DisEna     : OUT std_logic;
67
    PIN_select : OUT std_logic_vector(DIGIT_COUNT-1 downto 0));
68
end entity ext_Dis7Seg;
69
 
70
----------------------------------------------------------------------------------
71
-- END ENTITY
72
----------------------------------------------------------------------------------
73
 
74
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.