OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [processor/] [VHDL/] [ext_modules/] [ext_breakpoint/] [pkg_breakpoint.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jlechner
-----------------------------------------------------------------------
2
-- This file is part of SCARTS.
3
-- 
4
-- SCARTS is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
-- 
9
-- SCARTS is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
-- 
14
-- You should have received a copy of the GNU General Public License
15
-- along with SCARTS.  If not, see <http://www.gnu.org/licenses/>.
16
-----------------------------------------------------------------------
17
 
18
 
19
-------------------------------------------------------------------------------
20
-- Title      : Package Extension-Module
21
-- Project    : SCARTS - Scalable Processor for Embedded Applications in
22
--              Realtime Environment
23
-------------------------------------------------------------------------------
24
-- File       : pkg_display.vhd
25
-- Author     : Dipl. Ing. Martin Delvai
26
-- Company    : TU Wien - Institut fr Technische Informatik
27
-- Created    : 2002-02-11
28
-- Last update: 2011-03-17
29
-- Platform   : SUN Solaris
30
-------------------------------------------------------------------------------
31
-- Description:
32
-- Deklarationen und Konstanten r die 7 Segment Anzeige
33
-------------------------------------------------------------------------------
34
-- Copyright (c) 2002 
35
-------------------------------------------------------------------------------
36
-- Revisions  :
37
-- Date        Version  Author  Description
38
-- 2002-02-11  1.0      delvai  Created
39
-------------------------------------------------------------------------------
40
-------------------------------------------------------------------------------
41
-- LIBRARIES
42
-------------------------------------------------------------------------------
43
 
44
LIBRARY IEEE;
45
use IEEE.std_logic_1164.all;
46
 
47
use work.pkg_basic.all;
48
use work.scarts_conf.all;
49
use work.pkg_scarts.all;
50
 
51
 
52
 
53
-------------------------------------------------------------------------------
54
-- PACKAGE
55
-------------------------------------------------------------------------------
56
 
57
package pkg_breakpoint is
58
 
59
 
60
-------------------------------------------------------------------------------
61
--                             CONSTANT
62
-------------------------------------------------------------------------------  
63
 
64
 
65
 
66
 
67
-------------------------------------------------------------------------------
68
-------------------------------------------------------------------------------
69
--                             COMPONENT
70
-------------------------------------------------------------------------------  
71
-------------------------------------------------------------------------------
72
 
73
  component ext_breakpoint
74
    generic (
75
      CONF : scarts_conf_type);
76
    port (
77
      clk              : IN  std_logic;
78
      extsel           : in  std_ulogic;
79
      exti             : in  module_in_type;
80
      exto             : out module_out_type;
81
      -- Modul specific interface (= Pins)
82
      debugo_wdata     : in  INSTR;
83
      debugo_waddr     : in  std_logic_vector(CONF.instr_ram_size-1 downto 0);
84
      debugo_wen       : in  std_ulogic;
85
      debugo_raddr     : in  std_logic_vector(CONF.instr_ram_size-1 downto 0);
86
      debugo_rdata     : in  INSTR;
87
      debugo_read_en   : in  std_ulogic;
88
      debugo_hi_addr   : in  std_logic_vector(CONF.word_size-1 downto 15);
89
      debugi_rdata     : out INSTR;
90
      watchpoint_act   : in std_ulogic);
91
    end component;
92
 
93
 
94
end pkg_breakpoint;
95
-------------------------------------------------------------------------------
96
--                             END PACKAGE
97
------------------------------------------------------------------------------- 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.