OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [processor/] [VHDL/] [ext_modules/] [ext_counter/] [pkg_counter.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jlechner
-----------------------------------------------------------------------
2
-- This file is part of SCARTS.
3
-- 
4
-- SCARTS is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
-- 
9
-- SCARTS is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
-- 
14
-- You should have received a copy of the GNU General Public License
15
-- along with SCARTS.  If not, see <http://www.gnu.org/licenses/>.
16
-----------------------------------------------------------------------
17
 
18
 
19
-------------------------------------------------------------------------------
20
-- Title      : Package Extension-Module
21
-- Project    : SCARTS - Scalable Processor for Embedded Applications in
22
--              Realtime Environment
23
-------------------------------------------------------------------------------
24
-- File       : pkg_display.vhd
25
-- Author     : Dipl. Ing. Martin Delvai
26
-- Company    : TU Wien - Institut fr Technische Informatik
27
-- Created    : 2002-02-11
28
-- Last update: 2009-04-29
29
-- Platform   : SUN Solaris
30
-------------------------------------------------------------------------------
31
-- Description:
32
-- Package for counter module
33
-------------------------------------------------------------------------------
34
-- Copyright (c) 2002 
35
-------------------------------------------------------------------------------
36
-- Revisions  :
37
-- Date        Version  Author  Description
38
-- 2002-02-11  1.0      delvai  Created
39
-------------------------------------------------------------------------------
40
-------------------------------------------------------------------------------
41
-- LIBRARIES
42
-------------------------------------------------------------------------------
43
 
44
LIBRARY IEEE;
45
use IEEE.std_logic_1164.all;
46
 
47
use work.pkg_basic.all;
48
 
49
 
50
-------------------------------------------------------------------------------
51
-- PACKAGE
52
-------------------------------------------------------------------------------
53
 
54
package pkg_counter is
55
 
56
 
57
-------------------------------------------------------------------------------
58
--                             CONSTANT
59
-------------------------------------------------------------------------------  
60
 
61
constant MY_CONFIGREG : natural := 3;
62
constant CMD_COUNT : natural := 0;
63
constant CMD_CLEAR : natural := 1;
64
 
65
 
66
-------------------------------------------------------------------------------
67
-------------------------------------------------------------------------------
68
--                             COMPONENT
69
-------------------------------------------------------------------------------  
70
-------------------------------------------------------------------------------
71
    component ext_counter
72
      port (
73
        clk        : IN  std_logic;
74
        extsel     : in   std_ulogic;
75
        exti       : in  module_in_type;
76
        exto       : out module_out_type);
77
    end component;
78
 
79
 
80
 
81
 
82
end pkg_counter;
83
-------------------------------------------------------------------------------
84
--                             END PACKAGE
85
------------------------------------------------------------------------------- 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.