OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [processor/] [VHDL/] [ext_modules/] [ext_key_matrix/] [ext_key_matrix.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jlechner
-----------------------------------------------------------------------
2
-- This file is part of SCARTS.
3
-- 
4
-- SCARTS is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
-- 
9
-- SCARTS is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
-- 
14
-- You should have received a copy of the GNU General Public License
15
-- along with SCARTS.  If not, see <http://www.gnu.org/licenses/>.
16
-----------------------------------------------------------------------
17
 
18
 
19
library IEEE;
20
use IEEE.std_logic_1164.all;
21
use work.scarts_pkg.all;
22
use work.ext_key_matrix_pkg.all;
23
 
24
 
25
 
26
entity ext_key_matrix is
27
  generic
28
  (
29
    CLK_FREQ  : integer range 1 to integer'high;
30
    COLUMN_COUNT       : integer range 1 to integer'high;
31
    ROW_COUNT          : integer range 1 to integer'high
32
  );
33
  port
34
  (
35
    clk       : in std_logic;
36
    extsel    : in std_ulogic;
37
    exti      : in  module_in_type;
38
    exto      : out module_out_type;
39
    columns   : out std_logic_vector(COLUMN_COUNT - 1 downto 0);
40
    rows      : in  std_logic_vector(ROW_COUNT - 1 downto 0)
41
  );
42
end ext_key_matrix;
43
 
44
 
45
 
46
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.