OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [processor/] [VHDL/] [ext_modules/] [ext_key_matrix/] [key_matrix/] [key_matrix_pkg.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jlechner
-----------------------------------------------------------------------
2
-- This file is part of SCARTS.
3
-- 
4
-- SCARTS is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
-- 
9
-- SCARTS is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
-- 
14
-- You should have received a copy of the GNU General Public License
15
-- along with SCARTS.  If not, see <http://www.gnu.org/licenses/>.
16
-----------------------------------------------------------------------
17
 
18
 
19
library ieee;
20
use ieee.std_logic_1164.all;
21
use work.math_pkg.all;
22
 
23
package key_matrix_pkg is
24
  component key_matrix is
25
    generic
26
    (
27
      CLK_FREQ           : integer range 1 to integer'high;
28
      SCAN_TIME_INTERVAL : time range 1 ms to 100 ms;
29
      DEBOUNCE_TIMEOUT   : time range 100 us to 1 ms;
30
      SYNC_STAGES        : integer range 2 to integer'high;
31
      COLUMN_COUNT       : integer range 1 to integer'high;
32
      ROW_COUNT          : integer range 1 to integer'high
33
    );
34
    port
35
    (
36
      sys_clk   : in  std_logic;
37
      sys_res_n : in  std_logic;
38
      columns   : out std_logic_vector(COLUMN_COUNT - 1 downto 0);
39
      rows      : in  std_logic_vector(ROW_COUNT - 1 downto 0);
40
      key       : out std_logic_vector(log2c(ROW_COUNT * COLUMN_COUNT) - 1 downto 0)
41
    );
42
  end component key_matrix;
43
end package key_matrix_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.