OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [processor/] [VHDL/] [ext_modules/] [ext_miniUART/] [ext_miniUART_ent.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jlechner
-----------------------------------------------------------------------
2
-- This file is part of SCARTS.
3
-- 
4
-- SCARTS is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
-- 
9
-- SCARTS is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
-- 
14
-- You should have received a copy of the GNU General Public License
15
-- along with SCARTS.  If not, see <http://www.gnu.org/licenses/>.
16
-----------------------------------------------------------------------
17
 
18
 
19
-------------------------------------------------------------------------------
20
-- Title      : Extension Module: miniUART
21
-- Project    : HW/SW-Codesign
22
-------------------------------------------------------------------------------
23
-- File       : ext_miniUART_ent.vhd
24
-- Author     : Delvai Martin 
25
-- Company    : TU Wien - Institut für Technische Informatik
26
-- Created    : 2005-03-10
27
-- Last update: 2007-05-02
28
-------------------------------------------------------------------------------
29
 
30
--------------------------------------------------------------------------------
31
-- LIBRARY
32
--------------------------------------------------------------------------------
33
 
34
LIBRARY IEEE;
35
USE IEEE.std_logic_1164.all;
36
USE work.pkg_basic.all;
37
 
38
----------------------------------------------------------------------------------
39
-- ENTITY
40
----------------------------------------------------------------------------------
41
ENTITY ext_miniUART IS
42
        --pragma template
43
 -- generic (
44
 --   GWORD_CFG   : integer := 1);
45
--          MINIUART_BASE : integer := 51;
46
--          MINIUART_INT  : integer := 9);
47
 
48
        PORT(   ---------------------------------------------------------------
49
                -- Generic Ports
50
                ---------------------------------------------------------------
51
                clk           : IN  std_logic;
52
                extsel        : in  std_logic;
53
                exti          : in  module_in_type;
54
                exto          : out module_out_type;
55
                ---------------------------------------------------------------
56
                -- Module Specific Ports
57
                ---------------------------------------------------------------
58
                RxD           : IN std_logic;  -- Empfangsleitung
59
                TxD           : OUT std_logic
60
                );
61
END ext_miniUART;
62
 
63
----------------------------------------------------------------------------------
64
-- END ENTITY
65
----------------------------------------------------------------------------------
66
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.