OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [processor/] [VHDL/] [ext_modules/] [ext_timer/] [ext_timer_ent.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jlechner
-----------------------------------------------------------------------
2
-- This file is part of SCARTS.
3
-- 
4
-- SCARTS is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
-- 
9
-- SCARTS is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
-- 
14
-- You should have received a copy of the GNU General Public License
15
-- along with SCARTS.  If not, see <http://www.gnu.org/licenses/>.
16
-----------------------------------------------------------------------
17
 
18
 
19
-------------------------------------------------------------------------------
20
-- Title      : Timer Extension Module
21
-- Project    : SCARTS - Scalable Processor for Embedded Applications in
22
--              Realtime Environment
23
-------------------------------------------------------------------------------
24
-- File       : ext_timer_ent.vhd
25
-- Author     : Martin Delvai
26
-- Company    : TU Wien - Institut fr technische Informatik
27
-- Created    : 2007-05-01
28
-- Last update: 2007-08-21
29
-- Platform   : Linux
30
-------------------------------------------------------------------------------
31
-- Description:
32
--
33
-------------------------------------------------------------------------------
34
-- Copyright (c) 2007 
35
-------------------------------------------------------------------------------
36
-- Revisions  :
37
-- Date        Version  Author  Description
38
-- 2002-02-11  1.0      delvai  Created
39
-------------------------------------------------------------------------------
40
 
41
 
42
--------------------------------------------------------------------------------
43
-- LIBRARY
44
--------------------------------------------------------------------------------
45
 
46
LIBRARY IEEE;
47
USE IEEE.std_logic_1164.all;
48
USE work.pkg_basic.all;
49
use work.pkg_timer.all;
50
 
51
----------------------------------------------------------------------------------
52
-- ENTITY
53
----------------------------------------------------------------------------------
54
 
55
 
56
entity ext_timer is
57
  port(
58
    -- SCARTS Interface
59
    clk                     : IN  std_logic;
60
    extsel                  : in std_ulogic;
61
    exti                    : in  module_in_type;
62
    exto                    : out module_out_type
63
    -- Modul specific interface (= Pins) 
64
    );
65
end ext_timer;
66
 
67
----------------------------------------------------------------------------------
68
-- END ENTITY
69
----------------------------------------------------------------------------------
70
 
71
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.