OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [processor/] [VHDL/] [ext_modules/] [ext_timer/] [pkg_timer.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jlechner
-----------------------------------------------------------------------
2
-- This file is part of SCARTS.
3
-- 
4
-- SCARTS is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
-- 
9
-- SCARTS is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
-- 
14
-- You should have received a copy of the GNU General Public License
15
-- along with SCARTS.  If not, see <http://www.gnu.org/licenses/>.
16
-----------------------------------------------------------------------
17
 
18
 
19
-------------------------------------------------------------------------------
20
-- Title      : Package Extension-Module
21
-- Project    : SCARTS - Scalable Processor for Embedded Applications in
22
--              Realtime Environment
23
-------------------------------------------------------------------------------
24
-- File       : pkg_display.vhd
25
-- Author     : Dipl. Ing. Martin Delvai
26
-- Company    : TU Wien - Institut fr Technische Informatik
27
-- Created    : 2002-02-11
28
-- Last update: 2007-08-21
29
-- Platform   : SUN Solaris
30
-------------------------------------------------------------------------------
31
-- Description:
32
-- Deklarationen und Konstanten r die 7 Segment Anzeige
33
-------------------------------------------------------------------------------
34
-- Copyright (c) 2002 
35
-------------------------------------------------------------------------------
36
-- Revisions  :
37
-- Date        Version  Author  Description
38
-- 2002-02-11  1.0      delvai  Created
39
-------------------------------------------------------------------------------
40
-------------------------------------------------------------------------------
41
-- LIBRARIES
42
-------------------------------------------------------------------------------
43
 
44
LIBRARY IEEE;
45
use IEEE.std_logic_1164.all;
46
 
47
use work.pkg_basic.all;
48
 
49
 
50
-------------------------------------------------------------------------------
51
-- PACKAGE
52
-------------------------------------------------------------------------------
53
 
54
package pkg_timer is
55
 
56
 
57
-------------------------------------------------------------------------------
58
--                             CONSTANT
59
-------------------------------------------------------------------------------  
60
 
61
constant STATUS_C : integer := 1;
62
constant IINT  : integer := 4;
63
constant CINT  : integer := 0;
64
constant CONFIG_C : integer := 3;
65
constant START_I  : integer := 7;
66
constant STOP_I  : integer := 6;
67
constant MCC  : integer := 5;
68
constant IMI  : integer := 4;
69
constant START_C  : integer := 3;
70
constant STOP_C  : integer := 2;
71
constant MCI  : integer := 1;
72
constant CMI  : integer := 0;
73
 
74
constant CLK_CNT_0 : integer := 4;
75
constant CLK_CNT_1 : integer := 5;
76
constant CLK_CNT_2 : integer := 6;
77
constant CLK_CNT_3 : integer := 7;
78
 
79
constant CLK_MATCH_0 : integer := 8;
80
constant CLK_MATCH_1 : integer := 9;
81
constant CLK_MATCH_2 : integer := 10;
82
constant CLK_MATCH_3 : integer := 11 ;
83
 
84
constant INST_CNT_0 : integer := 12;
85
constant INST_CNT_1 : integer := 13;
86
constant INST_CNT_2 : integer := 14;
87
constant INST_CNT_3 : integer := 15;
88
 
89
constant INST_MATCH_0 : integer := 16;
90
constant INST_MATCH_1 : integer := 17;
91
constant INST_MATCH_2 : integer := 18;
92
constant INST_MATCH_3 : integer := 19 ;
93
 
94
 
95
-------------------------------------------------------------------------------
96
-------------------------------------------------------------------------------
97
--                             COMPONENT
98
-------------------------------------------------------------------------------  
99
-------------------------------------------------------------------------------
100
 
101
  component ext_timer
102
    port (
103
      clk     : IN  std_logic;
104
      extsel  : in  std_ulogic;
105
      exti    : in  module_in_type;
106
      exto    : out module_out_type
107
      );
108
  end component;
109
 
110
 
111
end pkg_timer;
112
-------------------------------------------------------------------------------
113
--                             END PACKAGE
114
------------------------------------------------------------------------------- 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.