OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [processor/] [VHDL/] [ext_modules/] [ext_watchpoint/] [pkg_watchpoint.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 jlechner
-----------------------------------------------------------------------
2
-- This file is part of SCARTS.
3
-- 
4
-- SCARTS is free software: you can redistribute it and/or modify
5
-- it under the terms of the GNU General Public License as published by
6
-- the Free Software Foundation, either version 3 of the License, or
7
-- (at your option) any later version.
8
-- 
9
-- SCARTS is distributed in the hope that it will be useful,
10
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
11
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
-- GNU General Public License for more details.
13
-- 
14
-- You should have received a copy of the GNU General Public License
15
-- along with SCARTS.  If not, see <http://www.gnu.org/licenses/>.
16
-----------------------------------------------------------------------
17
 
18
 
19
-------------------------------------------------------------------------------
20
-- Title      : Package Extension-Module
21
-- Project    : SCARTS - Scalable Processor for Embedded Applications in
22
--              Realtime Environment
23
-------------------------------------------------------------------------------
24
-- File       : pkg_display.vhd
25
-- Author     : Dipl. Ing. Martin Delvai
26
-- Company    : TU Wien - Institut fr Technische Informatik
27
-- Created    : 2002-02-11
28
-- Last update: 2011-03-17
29
-- Platform   : SUN Solaris
30
-------------------------------------------------------------------------------
31
-- Description:
32
-- Deklarationen und Konstanten r die 7 Segment Anzeige
33
-------------------------------------------------------------------------------
34
-- Copyright (c) 2002 
35
-------------------------------------------------------------------------------
36
-- Revisions  :
37
-- Date        Version  Author  Description
38
-- 2002-02-11  1.0      delvai  Created
39
-------------------------------------------------------------------------------
40
-------------------------------------------------------------------------------
41
-- LIBRARIES
42
-------------------------------------------------------------------------------
43
 
44
LIBRARY IEEE;
45
use IEEE.std_logic_1164.all;
46
 
47
use work.scarts_core_pkg.all;
48
use work.scarts_pkg.all;
49
 
50
 
51
 
52
-------------------------------------------------------------------------------
53
-- PACKAGE
54
-------------------------------------------------------------------------------
55
 
56
package pkg_watchpoint is
57
 
58
 
59
-------------------------------------------------------------------------------
60
--                             CONSTANT
61
-------------------------------------------------------------------------------  
62
 
63
 
64
 
65
 
66
-------------------------------------------------------------------------------
67
-------------------------------------------------------------------------------
68
--                             COMPONENT
69
-------------------------------------------------------------------------------  
70
-------------------------------------------------------------------------------
71
 
72
  component ext_watchpoint
73
    generic (
74
      CONF : scarts_conf_type);
75
    port (
76
      clk     : IN  std_logic;
77
      extsel  : in  std_ulogic;
78
      exti    : in  module_in_type;
79
      exto    : out module_out_type;
80
      -- Modul specific interface (= Pins) 
81
      read_en : in std_ulogic;
82
      --    write_en : in std_ulogic;
83
      hi_addr : in std_logic_vector(CONF.word_size-1 downto 15) --lower 15 bits in exti.addr
84
      );
85
    end component;
86
 
87
 
88
end pkg_watchpoint;
89
-------------------------------------------------------------------------------
90
--                             END PACKAGE
91
------------------------------------------------------------------------------- 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.