OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gcc/] [gcc-4.1.1/] [libstdc++-v3/] [testsuite/] [23_containers/] [vector/] [modifiers/] [1.cc] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 jlechner
// 1999-11-09 bkoz
2
 
3
// Copyright (C) 1999, 2001, 2004, 2005 Free Software Foundation, Inc.
4
//
5
// This file is part of the GNU ISO C++ Library.  This library is free
6
// software; you can redistribute it and/or modify it under the
7
// terms of the GNU General Public License as published by the
8
// Free Software Foundation; either version 2, or (at your option)
9
// any later version.
10
 
11
// This library is distributed in the hope that it will be useful,
12
// but WITHOUT ANY WARRANTY; without even the implied warranty of
13
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14
// GNU General Public License for more details.
15
 
16
// You should have received a copy of the GNU General Public License along
17
// with this library; see the file COPYING.  If not, write to the Free
18
// Software Foundation, 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
19
// USA.
20
 
21
// 23.2.4.3 vector modifiers
22
 
23
#include <vector>
24
#include "testsuite_hooks.h"
25
 
26
bool test __attribute__((unused)) = true;
27
 
28
template<typename T>
29
  struct A { };
30
 
31
struct B { };
32
 
33
// vector::insert(iterator, inputiterator first, inputiterator last)
34
void
35
test01()
36
{
37
  // POD types
38
  typedef std::vector<int>      vec_POD;
39
  vec_POD       vec01;
40
  int           i01 = 5;
41
  int*          pi01 = &i01;
42
  vec01.insert(vec01.begin(), pi01, pi01 + 1);
43
 
44
  // non POD types
45
  typedef std::vector< A<B> >   vec_nonPOD;
46
  vec_nonPOD    vec02;
47
  A<B>          np01;
48
  A<B>*         pnp01 = &np01;
49
  vec02.insert(vec02.begin(), pnp01, pnp01 + 1);
50
}
51
 
52
int main()
53
{
54
  test01();
55
  return 0;
56
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.