OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gcc/] [gcc-4.1.1/] [libstdc++-v3/] [testsuite/] [27_io/] [basic_filebuf/] [overflow/] [wchar_t/] [11305-2.cc] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 jlechner
// { dg-require-namedlocale "" }
2
 
3
// Copyright (C) 2003, 2005 Free Software Foundation, Inc.
4
//
5
// This file is part of the GNU ISO C++ Library.  This library is free
6
// software; you can redistribute it and/or modify it under the
7
// terms of the GNU General Public License as published by the
8
// Free Software Foundation; either version 2, or (at your option)
9
// any later version.
10
 
11
// This library is distributed in the hope that it will be useful,
12
// but WITHOUT ANY WARRANTY; without even the implied warranty of
13
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14
// GNU General Public License for more details.
15
 
16
// You should have received a copy of the GNU General Public License along
17
// with this library; see the file COPYING.  If not, write to the Free
18
// Software Foundation, 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
19
// USA.
20
 
21
// 27.8.1.4 Overridden virtual functions
22
 
23
#include <fstream>
24
#include <locale>
25
#include <testsuite_hooks.h>
26
 
27
void test02()
28
{
29
  using namespace std;
30
  bool test __attribute__((unused)) = true;
31
 
32
  wfilebuf fb;
33
  locale loc(locale("en_US.UTF-8"));
34
  fb.pubimbue(loc);
35
  fb.pubsetbuf(0, 0);
36
  fb.open("tmp_11305-2", ios_base::out);
37
  wfilebuf::int_type n1 = fb.sputc(0x20000000);
38
  wfilebuf::int_type n2 = fb.sputc(0x40000000);
39
  wfilebuf* f = fb.close();
40
 
41
  VERIFY( n1 != wfilebuf::traits_type::eof() );
42
  VERIFY( n2 != wfilebuf::traits_type::eof() );
43
  VERIFY( f != NULL );
44
}
45
 
46
int main()
47
{
48
  test02();
49
  return 0;
50
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.