OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gcc/] [gcc-4.1.1/] [libstdc++-v3/] [testsuite/] [27_io/] [basic_filebuf/] [setbuf/] [char/] [12875-2.cc] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 jlechner
// Copyright (C) 2003 Free Software Foundation, Inc.
2
//
3
// This file is part of the GNU ISO C++ Library.  This library is free
4
// software; you can redistribute it and/or modify it under the
5
// terms of the GNU General Public License as published by the
6
// Free Software Foundation; either version 2, or (at your option)
7
// any later version.
8
 
9
// This library is distributed in the hope that it will be useful,
10
// but WITHOUT ANY WARRANTY; without even the implied warranty of
11
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
// GNU General Public License for more details.
13
 
14
// You should have received a copy of the GNU General Public License along
15
// with this library; see the file COPYING.  If not, write to the Free
16
// Software Foundation, 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
17
// USA.
18
 
19
// 27.8.1.4 Overridden virtual functions
20
 
21
#include <fstream>
22
#include <cstdio>
23
#include <cstring>
24
#include <testsuite_hooks.h>
25
 
26
// libstdc++/12875
27
void test02()
28
{
29
  using namespace std;
30
  bool test __attribute__((unused)) = true;
31
 
32
  const char* name = "tmp_setbuf5";
33
  static char buf[1024];
34
 
35
  filebuf out;
36
  out.open(name, ios_base::out);
37
  streamsize r = out.sputn("Hello,", 6);
38
  VERIFY( r == 6 );
39
  out.pubsetbuf(buf, 1024);
40
  r = out.sputn(" world", 6);
41
  VERIFY( r == 6 );
42
  VERIFY( out.close() );
43
 
44
  FILE* in = fopen(name, "r");
45
  char str[256];
46
  fgets(str, 256, in);
47
  VERIFY( !strcmp(str, "Hello, world") );
48
  fclose(in);
49
}
50
 
51
int main()
52
{
53
  test02();
54
  return 0;
55
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.