OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gcc/] [gcc-4.1.1/] [libstdc++-v3/] [testsuite/] [27_io/] [basic_filebuf/] [sync/] [char/] [1.cc] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 jlechner
// Copyright (C) 2003 Free Software Foundation, Inc.
2
//
3
// This file is part of the GNU ISO C++ Library.  This library is free
4
// software; you can redistribute it and/or modify it under the
5
// terms of the GNU General Public License as published by the
6
// Free Software Foundation; either version 2, or (at your option)
7
// any later version.
8
 
9
// This library is distributed in the hope that it will be useful,
10
// but WITHOUT ANY WARRANTY; without even the implied warranty of
11
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
// GNU General Public License for more details.
13
 
14
// You should have received a copy of the GNU General Public License along
15
// with this library; see the file COPYING.  If not, write to the Free
16
// Software Foundation, 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
17
// USA.
18
 
19
// 27.8.1.4 Overridden virtual functions
20
 
21
#include <fstream>
22
#include <testsuite_hooks.h>
23
 
24
void test01()
25
{
26
  using namespace std;
27
 
28
  bool test __attribute__((unused)) = true;
29
  const char* name = "tmp_sync_1";
30
 
31
  filebuf fb;
32
 
33
  fb.open(name, ios_base::in | ios_base::out | ios_base::trunc);
34
  fb.sputn("abc", 3);
35
 
36
  fb.pubseekoff(0, ios_base::beg);
37
  fb.sputc('1');
38
 
39
  // Sync can't be used to switch from write mode to read mode.
40
  fb.pubsync();
41
 
42
  filebuf::int_type c = fb.sbumpc();
43
  VERIFY( c == filebuf::traits_type::eof() );
44
 
45
  fb.close();
46
}
47
 
48
int main()
49
{
50
  test01();
51
  return 0;
52
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.