OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gcc/] [gcc-4.1.1/] [libstdc++-v3/] [testsuite/] [27_io/] [basic_istream/] [readsome/] [wchar_t/] [6746-1.cc] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 jlechner
// Copyright (C) 2004 Free Software Foundation
2
//
3
// This file is part of the GNU ISO C++ Library.  This library is free
4
// software; you can redistribute it and/or modify it under the
5
// terms of the GNU General Public License as published by the
6
// Free Software Foundation; either version 2, or (at your option)
7
// any later version.
8
 
9
// This library is distributed in the hope that it will be useful,
10
// but WITHOUT ANY WARRANTY; without even the implied warranty of
11
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
12
// GNU General Public License for more details.
13
 
14
// You should have received a copy of the GNU General Public License along
15
// with this library; see the file COPYING.  If not, write to the Free
16
// Software Foundation, 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
17
// USA.
18
 
19
// 27.6.1.3 unformatted input functions
20
 
21
#include <istream>
22
#include <sstream>
23
#include <testsuite_hooks.h>
24
 
25
// libstdc++/6746   
26
void test12()
27
{
28
  using namespace std;
29
  bool test __attribute__((unused)) = true;
30
  streamsize sum = 0;
31
  wistringstream iss(L"shamma shamma");
32
 
33
  // test01
34
  size_t i = iss.rdbuf()->in_avail();
35
  VERIFY( i != 0 );
36
 
37
  // test02
38
  streamsize extracted;
39
  do
40
    {
41
      wchar_t buf[1024];
42
      extracted = iss.readsome(buf, sizeof(buf) / sizeof(wchar_t));
43
      sum += extracted;
44
    }
45
  while (iss.good() && extracted);
46
  VERIFY( sum != 0 );
47
}
48
 
49
int
50
main()
51
{
52
  test12();
53
  return 0;
54
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.