OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gcc/] [gcc-4.1.1/] [libstdc++-v3/] [testsuite/] [27_io/] [basic_stringbuf/] [overflow/] [wchar_t/] [1.cc] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 jlechner
// 2004-07-07  Paolo Carlini  <pcarlini@suse.de>
2
 
3
// Copyright (C) 2004 Free Software Foundation, Inc.
4
//
5
// This file is part of the GNU ISO C++ Library.  This library is free
6
// software; you can redistribute it and/or modify it under the
7
// terms of the GNU General Public License as published by the
8
// Free Software Foundation; either version 2, or (at your option)
9
// any later version.
10
 
11
// This library is distributed in the hope that it will be useful,
12
// but WITHOUT ANY WARRANTY; without even the implied warranty of
13
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14
// GNU General Public License for more details.
15
 
16
// You should have received a copy of the GNU General Public License along
17
// with this library; see the file COPYING.  If not, write to the Free
18
// Software Foundation, 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
19
// USA.
20
 
21
// 27.7.1.3 basic_stringbuf overridden virtual functions.
22
 
23
#include <sstream>
24
#include <cstdlib>
25
#include <testsuite_hooks.h>
26
 
27
using namespace std;
28
 
29
wstring
30
data(unsigned len)
31
{
32
  wstring ret;
33
  for (unsigned i = 0; i < len; ++i)
34
    ret.push_back(L'a' + rand() % 26);
35
  return ret;
36
}
37
 
38
void
39
test01(unsigned iter)
40
{
41
  bool test __attribute__((unused)) = true;
42
 
43
  for (unsigned n = 1; n <= iter; n *= 10)
44
    {
45
      const wstring str = data(n);
46
      wstringbuf sstr;
47
      for (unsigned i = 0; i < n; ++i)
48
        sstr.sputc(str[i]);
49
      VERIFY( str == sstr.str() );
50
    }
51
}
52
 
53
int main()
54
{
55
  test01(10000000);
56
  return 0;
57
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.