OpenCores
URL https://opencores.org/ocsvn/sciir/sciir/trunk

Subversion Repositories sciir

[/] [sciir/] [trunk/] [SystemC/] [dfii/] [IIR_DFII.cpp] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 ahmed.shah
#include "systemc.h"
2
#include "IIR_DFII.h"
3
#include "Stimuli.h"
4
 
5
int sc_main(int argc, char* argv[])
6
{
7
        sc_clock                        CLOCK("CLOCK", 1, SC_US);
8
        sc_signal<bool>         RST;
9
        sc_signal<float >       iIIR;
10
        sc_signal<float >       oIIR;
11
 
12
        /*float b[orderFF] = {0.0995,0.1486,0.1481,0.0999};
13
        float a[orderFF] = {0.0,0.9828,-0.5450,0.0671};*/
14
        float b[orderFF] = {0.0565,0.0967,0.1184,0.0970,0.0564};
15
        float a[orderFF] = {0.0,1.3320,-1.0422,0.3544,-0.0700};
16
        const int Size = 16;
17
 
18
        IIR_DFII<float > DUT("DUT", b, a);
19
        DUT.CLR(RST);
20
        DUT.CLK(CLOCK);
21
        DUT.iIIR(iIIR);
22
        DUT.oIIR(oIIR);
23
 
24
        Stimuli<float > inputVector("Stimuli", Size);
25
    inputVector.clr(RST);
26
    inputVector.clk(CLOCK);
27
    inputVector.streamout(iIIR);
28
 
29
    cout << "FF Order \t" << orderFF << endl;
30
    cout << "FB Order \t" << orderFB << endl;
31
 
32
        sc_trace_file *fp;
33
        fp = sc_create_vcd_trace_file("wave");
34
        fp -> set_time_unit(100, SC_PS);
35
 
36
        sc_trace(fp, RST, "RST");
37
        sc_trace(fp, CLOCK, "CLOCK");
38
        sc_trace(fp, iIIR, "IP");
39
        sc_trace(fp, oIIR, "OP");
40
 
41
        sc_trace(fp, DUT.oMultiplierFF[0], "oMU_FF(0)");
42
        sc_trace(fp, DUT.oMultiplierFF[1], "oMU_FF(1)");
43
        sc_trace(fp, DUT.oMultiplierFF[2], "oMU_FF(2)");
44
        sc_trace(fp, DUT.oMultiplierFF[3], "oMU_FF(3)");
45
 
46
        sc_trace(fp, DUT.oAdderFF[0], "oAD_FF(0)");
47
        sc_trace(fp, DUT.oAdderFF[1], "oAD_FF(1)");
48
        sc_trace(fp, DUT.oAdderFF[2], "oAD_FF(2)");
49
        sc_trace(fp, DUT.oAdderFF[3], "oAD_FF(3)");
50
 
51
        sc_trace(fp, DUT.oDelay[0], "oDL_FF(0)");
52
        sc_trace(fp, DUT.oDelay[1], "oDL_FF(1)");
53
        sc_trace(fp, DUT.oDelay[2], "oDL_FF(2)");
54
        sc_trace(fp, DUT.oDelay[3], "oDL_FF(3)");
55
 
56
        sc_trace(fp, DUT.oMultiplierFB[0], "oMU_FB(0)");
57
        sc_trace(fp, DUT.oMultiplierFB[1], "oMU_FB(1)");
58
        sc_trace(fp, DUT.oMultiplierFB[2], "oMU_FB(2)");
59
        sc_trace(fp, DUT.oMultiplierFB[3], "oMU_FB(3)");
60
 
61
        RST = true;
62
        sc_start(3, SC_US);
63
        RST = false;cout << " RESET " << endl;
64
        sc_start(16, SC_US);
65
 
66
        sc_close_vcd_trace_file(fp);
67
 
68
        return 0;
69
}
70
 
71
// g++ -I$SYSTEMC_HOME/include -L$SYSTEMC_HOME/lib-linux IIR_DFII.cpp -lsystemc -lm -o iir.o
72
// g++ -I$SYSTEMC_HOME/include -L$SYSTEMC_HOME/lib-linux IIR_DFII.cpp -lsystemc -lm -o sdm.o -DSC_INCLUDE_FX

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.