OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

[/] [sdcard_mass_storage_controller/] [trunk/] [backend/] [Actel/] [Block/] [versatile_fifo_dptam_dw/] [versatile_fifo_dptam_dw_sim.v] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 tac2
`timescale 1 ns/100 ps
2
// Version: 8.5 8.5.0.34
3
 
4
 
5
module versatile_fifo_dptam_dw(
6
       d_a,
7
       q_a,
8
       adr_a,
9
       we_a,
10
       clk_a,
11
       q_b,
12
       adr_b,
13
       d_b,
14
       we_b,
15
       clk_b
16
    );
17
input  [7:0] d_a;
18
output [7:0] q_a;
19
input  [10:0] adr_a;
20
input  we_a;
21
input  clk_a;
22
output [7:0] q_b;
23
input  [10:0] adr_b;
24
input  [7:0] d_b;
25
input  we_b;
26
input  clk_b;
27
 
28
    wire VCC, GND, \ram_tile_2.DOUT0_SIG[2] ,
29
        \ram_tile_2.DOUT0_SIG[3] , \ram_tile_2.DOUT0_SIG[4] ,
30
        \ram_tile_2.DOUT0_SIG[5] , \ram_tile_2.DOUT0_SIG[6] ,
31
        \ram_tile_2.DOUT0_SIG[7] , \ram_tile_2.DOUT0_SIG[8] ,
32
        \ram_tile_2.DOUT1_SIG[2] , \ram_tile_2.DOUT1_SIG[3] ,
33
        \ram_tile_2.DOUT1_SIG[4] , \ram_tile_2.DOUT1_SIG[5] ,
34
        \ram_tile_2.DOUT1_SIG[6] , \ram_tile_2.DOUT1_SIG[7] ,
35
        \ram_tile_2.DOUT1_SIG[8] , \ram_tile_1.DOUT0_SIG[2] ,
36
        \ram_tile_1.DOUT0_SIG[3] , \ram_tile_1.DOUT0_SIG[4] ,
37
        \ram_tile_1.DOUT0_SIG[5] , \ram_tile_1.DOUT0_SIG[6] ,
38
        \ram_tile_1.DOUT0_SIG[7] , \ram_tile_1.DOUT0_SIG[8] ,
39
        \ram_tile_1.DOUT1_SIG[2] , \ram_tile_1.DOUT1_SIG[3] ,
40
        \ram_tile_1.DOUT1_SIG[4] , \ram_tile_1.DOUT1_SIG[5] ,
41
        \ram_tile_1.DOUT1_SIG[6] , \ram_tile_1.DOUT1_SIG[7] ,
42
        \ram_tile_1.DOUT1_SIG[8] , \ram_tile_0.DOUT0_SIG[2] ,
43
        \ram_tile_0.DOUT0_SIG[3] , \ram_tile_0.DOUT0_SIG[4] ,
44
        \ram_tile_0.DOUT0_SIG[5] , \ram_tile_0.DOUT0_SIG[6] ,
45
        \ram_tile_0.DOUT0_SIG[7] , \ram_tile_0.DOUT0_SIG[8] ,
46
        \ram_tile_0.DOUT1_SIG[2] , \ram_tile_0.DOUT1_SIG[3] ,
47
        \ram_tile_0.DOUT1_SIG[4] , \ram_tile_0.DOUT1_SIG[5] ,
48
        \ram_tile_0.DOUT1_SIG[6] , \ram_tile_0.DOUT1_SIG[7] ,
49
        \ram_tile_0.DOUT1_SIG[8] , \ram_tile.DOUT0_SIG[2] ,
50
        \ram_tile.DOUT0_SIG[3] , \ram_tile.DOUT0_SIG[4] ,
51
        \ram_tile.DOUT0_SIG[5] , \ram_tile.DOUT0_SIG[6] ,
52
        \ram_tile.DOUT0_SIG[7] , \ram_tile.DOUT0_SIG[8] ,
53
        \ram_tile.DOUT1_SIG[2] , \ram_tile.DOUT1_SIG[3] ,
54
        \ram_tile.DOUT1_SIG[4] , \ram_tile.DOUT1_SIG[5] ,
55
        \ram_tile.DOUT1_SIG[6] , \ram_tile.DOUT1_SIG[7] ,
56
        \ram_tile.DOUT1_SIG[8] , we_b_i, we_a_i, GND_net_1, VCC_net_1;
57
 
58
    INV we_b_RNIB08 (.A(we_b), .Y(we_b_i));
59
    VCC VCC_i_0 (.Y(VCC_net_1));
60
    RAM4K9 ram_tile_0_I_1 (.ADDRA11(GND), .ADDRA10(adr_b[10]), .ADDRA9(
61
        adr_b[9]), .ADDRA8(adr_b[8]), .ADDRA7(adr_b[7]), .ADDRA6(
62
        adr_b[6]), .ADDRA5(adr_b[5]), .ADDRA4(adr_b[4]), .ADDRA3(
63
        adr_b[3]), .ADDRA2(adr_b[2]), .ADDRA1(adr_b[1]), .ADDRA0(
64
        adr_b[0]), .ADDRB11(GND), .ADDRB10(adr_a[10]), .ADDRB9(
65
        adr_a[9]), .ADDRB8(adr_a[8]), .ADDRB7(adr_a[7]), .ADDRB6(
66
        adr_a[6]), .ADDRB5(adr_a[5]), .ADDRB4(adr_a[4]), .ADDRB3(
67
        adr_a[3]), .ADDRB2(adr_a[2]), .ADDRB1(adr_a[1]), .ADDRB0(
68
        adr_a[0]), .DINA8(GND), .DINA7(GND), .DINA6(GND), .DINA5(GND),
69
        .DINA4(GND), .DINA3(GND), .DINA2(GND), .DINA1(d_b[3]), .DINA0(
70
        d_b[2]), .DINB8(GND), .DINB7(GND), .DINB6(GND), .DINB5(GND),
71
        .DINB4(GND), .DINB3(GND), .DINB2(GND), .DINB1(d_a[3]), .DINB0(
72
        d_a[2]), .WIDTHA0(VCC), .WIDTHA1(GND), .WIDTHB0(VCC), .WIDTHB1(
73
        GND), .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
74
        .BLKA(GND), .BLKB(GND), .WENA(we_b_i), .WENB(we_a_i), .CLKA(
75
        clk_b), .CLKB(clk_a), .RESET(VCC), .DOUTA8(
76
        \ram_tile_0.DOUT0_SIG[8] ), .DOUTA7(\ram_tile_0.DOUT0_SIG[7] ),
77
        .DOUTA6(\ram_tile_0.DOUT0_SIG[6] ), .DOUTA5(
78
        \ram_tile_0.DOUT0_SIG[5] ), .DOUTA4(\ram_tile_0.DOUT0_SIG[4] ),
79
        .DOUTA3(\ram_tile_0.DOUT0_SIG[3] ), .DOUTA2(
80
        \ram_tile_0.DOUT0_SIG[2] ), .DOUTA1(q_b[3]), .DOUTA0(q_b[2]),
81
        .DOUTB8(\ram_tile_0.DOUT1_SIG[8] ), .DOUTB7(
82
        \ram_tile_0.DOUT1_SIG[7] ), .DOUTB6(\ram_tile_0.DOUT1_SIG[6] ),
83
        .DOUTB5(\ram_tile_0.DOUT1_SIG[5] ), .DOUTB4(
84
        \ram_tile_0.DOUT1_SIG[4] ), .DOUTB3(\ram_tile_0.DOUT1_SIG[3] ),
85
        .DOUTB2(\ram_tile_0.DOUT1_SIG[2] ), .DOUTB1(q_a[3]), .DOUTB0(
86
        q_a[2]));
87
    INV we_a_RNIA08 (.A(we_a), .Y(we_a_i));
88
    GND GND_i_0 (.Y(GND_net_1));
89
    RAM4K9 ram_tile_I_1 (.ADDRA11(GND), .ADDRA10(adr_b[10]), .ADDRA9(
90
        adr_b[9]), .ADDRA8(adr_b[8]), .ADDRA7(adr_b[7]), .ADDRA6(
91
        adr_b[6]), .ADDRA5(adr_b[5]), .ADDRA4(adr_b[4]), .ADDRA3(
92
        adr_b[3]), .ADDRA2(adr_b[2]), .ADDRA1(adr_b[1]), .ADDRA0(
93
        adr_b[0]), .ADDRB11(GND), .ADDRB10(adr_a[10]), .ADDRB9(
94
        adr_a[9]), .ADDRB8(adr_a[8]), .ADDRB7(adr_a[7]), .ADDRB6(
95
        adr_a[6]), .ADDRB5(adr_a[5]), .ADDRB4(adr_a[4]), .ADDRB3(
96
        adr_a[3]), .ADDRB2(adr_a[2]), .ADDRB1(adr_a[1]), .ADDRB0(
97
        adr_a[0]), .DINA8(GND), .DINA7(GND), .DINA6(GND), .DINA5(GND),
98
        .DINA4(GND), .DINA3(GND), .DINA2(GND), .DINA1(d_b[1]), .DINA0(
99
        d_b[0]), .DINB8(GND), .DINB7(GND), .DINB6(GND), .DINB5(GND),
100
        .DINB4(GND), .DINB3(GND), .DINB2(GND), .DINB1(d_a[1]), .DINB0(
101
        d_a[0]), .WIDTHA0(VCC), .WIDTHA1(GND), .WIDTHB0(VCC), .WIDTHB1(
102
        GND), .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
103
        .BLKA(GND), .BLKB(GND), .WENA(we_b_i), .WENB(we_a_i), .CLKA(
104
        clk_b), .CLKB(clk_a), .RESET(VCC), .DOUTA8(
105
        \ram_tile.DOUT0_SIG[8] ), .DOUTA7(\ram_tile.DOUT0_SIG[7] ),
106
        .DOUTA6(\ram_tile.DOUT0_SIG[6] ), .DOUTA5(
107
        \ram_tile.DOUT0_SIG[5] ), .DOUTA4(\ram_tile.DOUT0_SIG[4] ),
108
        .DOUTA3(\ram_tile.DOUT0_SIG[3] ), .DOUTA2(
109
        \ram_tile.DOUT0_SIG[2] ), .DOUTA1(q_b[1]), .DOUTA0(q_b[0]),
110
        .DOUTB8(\ram_tile.DOUT1_SIG[8] ), .DOUTB7(
111
        \ram_tile.DOUT1_SIG[7] ), .DOUTB6(\ram_tile.DOUT1_SIG[6] ),
112
        .DOUTB5(\ram_tile.DOUT1_SIG[5] ), .DOUTB4(
113
        \ram_tile.DOUT1_SIG[4] ), .DOUTB3(\ram_tile.DOUT1_SIG[3] ),
114
        .DOUTB2(\ram_tile.DOUT1_SIG[2] ), .DOUTB1(q_a[1]), .DOUTB0(
115
        q_a[0]));
116
    VCC VCC_i (.Y(VCC));
117
    RAM4K9 ram_tile_2_I_1 (.ADDRA11(GND), .ADDRA10(adr_b[10]), .ADDRA9(
118
        adr_b[9]), .ADDRA8(adr_b[8]), .ADDRA7(adr_b[7]), .ADDRA6(
119
        adr_b[6]), .ADDRA5(adr_b[5]), .ADDRA4(adr_b[4]), .ADDRA3(
120
        adr_b[3]), .ADDRA2(adr_b[2]), .ADDRA1(adr_b[1]), .ADDRA0(
121
        adr_b[0]), .ADDRB11(GND), .ADDRB10(adr_a[10]), .ADDRB9(
122
        adr_a[9]), .ADDRB8(adr_a[8]), .ADDRB7(adr_a[7]), .ADDRB6(
123
        adr_a[6]), .ADDRB5(adr_a[5]), .ADDRB4(adr_a[4]), .ADDRB3(
124
        adr_a[3]), .ADDRB2(adr_a[2]), .ADDRB1(adr_a[1]), .ADDRB0(
125
        adr_a[0]), .DINA8(GND), .DINA7(GND), .DINA6(GND), .DINA5(GND),
126
        .DINA4(GND), .DINA3(GND), .DINA2(GND), .DINA1(d_b[7]), .DINA0(
127
        d_b[6]), .DINB8(GND), .DINB7(GND), .DINB6(GND), .DINB5(GND),
128
        .DINB4(GND), .DINB3(GND), .DINB2(GND), .DINB1(d_a[7]), .DINB0(
129
        d_a[6]), .WIDTHA0(VCC), .WIDTHA1(GND), .WIDTHB0(VCC), .WIDTHB1(
130
        GND), .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
131
        .BLKA(GND), .BLKB(GND), .WENA(we_b_i), .WENB(we_a_i), .CLKA(
132
        clk_b), .CLKB(clk_a), .RESET(VCC), .DOUTA8(
133
        \ram_tile_2.DOUT0_SIG[8] ), .DOUTA7(\ram_tile_2.DOUT0_SIG[7] ),
134
        .DOUTA6(\ram_tile_2.DOUT0_SIG[6] ), .DOUTA5(
135
        \ram_tile_2.DOUT0_SIG[5] ), .DOUTA4(\ram_tile_2.DOUT0_SIG[4] ),
136
        .DOUTA3(\ram_tile_2.DOUT0_SIG[3] ), .DOUTA2(
137
        \ram_tile_2.DOUT0_SIG[2] ), .DOUTA1(q_b[7]), .DOUTA0(q_b[6]),
138
        .DOUTB8(\ram_tile_2.DOUT1_SIG[8] ), .DOUTB7(
139
        \ram_tile_2.DOUT1_SIG[7] ), .DOUTB6(\ram_tile_2.DOUT1_SIG[6] ),
140
        .DOUTB5(\ram_tile_2.DOUT1_SIG[5] ), .DOUTB4(
141
        \ram_tile_2.DOUT1_SIG[4] ), .DOUTB3(\ram_tile_2.DOUT1_SIG[3] ),
142
        .DOUTB2(\ram_tile_2.DOUT1_SIG[2] ), .DOUTB1(q_a[7]), .DOUTB0(
143
        q_a[6]));
144
    RAM4K9 ram_tile_1_I_1 (.ADDRA11(GND), .ADDRA10(adr_b[10]), .ADDRA9(
145
        adr_b[9]), .ADDRA8(adr_b[8]), .ADDRA7(adr_b[7]), .ADDRA6(
146
        adr_b[6]), .ADDRA5(adr_b[5]), .ADDRA4(adr_b[4]), .ADDRA3(
147
        adr_b[3]), .ADDRA2(adr_b[2]), .ADDRA1(adr_b[1]), .ADDRA0(
148
        adr_b[0]), .ADDRB11(GND), .ADDRB10(adr_a[10]), .ADDRB9(
149
        adr_a[9]), .ADDRB8(adr_a[8]), .ADDRB7(adr_a[7]), .ADDRB6(
150
        adr_a[6]), .ADDRB5(adr_a[5]), .ADDRB4(adr_a[4]), .ADDRB3(
151
        adr_a[3]), .ADDRB2(adr_a[2]), .ADDRB1(adr_a[1]), .ADDRB0(
152
        adr_a[0]), .DINA8(GND), .DINA7(GND), .DINA6(GND), .DINA5(GND),
153
        .DINA4(GND), .DINA3(GND), .DINA2(GND), .DINA1(d_b[5]), .DINA0(
154
        d_b[4]), .DINB8(GND), .DINB7(GND), .DINB6(GND), .DINB5(GND),
155
        .DINB4(GND), .DINB3(GND), .DINB2(GND), .DINB1(d_a[5]), .DINB0(
156
        d_a[4]), .WIDTHA0(VCC), .WIDTHA1(GND), .WIDTHB0(VCC), .WIDTHB1(
157
        GND), .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
158
        .BLKA(GND), .BLKB(GND), .WENA(we_b_i), .WENB(we_a_i), .CLKA(
159
        clk_b), .CLKB(clk_a), .RESET(VCC), .DOUTA8(
160
        \ram_tile_1.DOUT0_SIG[8] ), .DOUTA7(\ram_tile_1.DOUT0_SIG[7] ),
161
        .DOUTA6(\ram_tile_1.DOUT0_SIG[6] ), .DOUTA5(
162
        \ram_tile_1.DOUT0_SIG[5] ), .DOUTA4(\ram_tile_1.DOUT0_SIG[4] ),
163
        .DOUTA3(\ram_tile_1.DOUT0_SIG[3] ), .DOUTA2(
164
        \ram_tile_1.DOUT0_SIG[2] ), .DOUTA1(q_b[5]), .DOUTA0(q_b[4]),
165
        .DOUTB8(\ram_tile_1.DOUT1_SIG[8] ), .DOUTB7(
166
        \ram_tile_1.DOUT1_SIG[7] ), .DOUTB6(\ram_tile_1.DOUT1_SIG[6] ),
167
        .DOUTB5(\ram_tile_1.DOUT1_SIG[5] ), .DOUTB4(
168
        \ram_tile_1.DOUT1_SIG[4] ), .DOUTB3(\ram_tile_1.DOUT1_SIG[3] ),
169
        .DOUTB2(\ram_tile_1.DOUT1_SIG[2] ), .DOUTB1(q_a[5]), .DOUTB0(
170
        q_a[4]));
171
    GND GND_i (.Y(GND));
172
 
173
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.