OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

[/] [sdcard_mass_storage_controller/] [trunk/] [syn/] [ref_design_top.sdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 tac2
################################################################################
2
#  SDC WRITER VERSION "3.1";
3
#  DESIGN "ref_design_top";
4
#  Timing constraints scenario: "Primary";
5
#  DATE "Sun Mar 01 09:53:11 2009";
6
#  VENDOR "Actel";
7
#  PROGRAM "Actel Designer Software Release v8.5";
8
#  VERSION "8.5.0.34"  Copyright (C) 1989-2008 Actel Corp.
9
################################################################################
10
 
11
 
12
set sdc_version 1.7
13
 
14
 
15
########  Clock Constraints  ########
16
 
17
create_clock  -name { clk_i } -period 40.000 -waveform { 0.000 20.000  }  { clk_pad_i  }
18
 
19
create_clock  -name { tck } -period 83.333 -waveform { 0.000 41.667  }  { dbg_tck_pad_i  }
20
 
21
 
22
 
23
 
24
########  Generated Clock Constraints  ########
25
 
26
create_generated_clock  -name { iclk_gen/Core:GLA } -divide_by 25  -multiply_by 25  -source { iclk_gen/Core:CLKA } { iclk_gen/Core:GLA  }
27
#
28
# *** Note *** SmartTime supports extensions to the create_generated_clock constraint supported by SDC,
29
#              Extensions to this constraint may not be accepted by tools other than Actel's
30
 
31
create_generated_clock  -name { iclk_gen/Core:GLB } -divide_by 25  -multiply_by 48  -source { iclk_gen/Core:CLKA } { iclk_gen/Core:GLB  }
32
#
33
# *** Note *** SmartTime supports extensions to the create_generated_clock constraint supported by SDC,
34
#              Extensions to this constraint may not be accepted by tools other than Actel's
35
 
36
 
37
 
38
########  Clock Source Latency Constraints #########
39
 
40
 
41
 
42
########  Input Delay Constraints  ########
43
 
44
 
45
 
46
 
47
 
48
########  Output Delay Constraints  ########
49
 
50
set_output_delay  -max 33.000 -clock { iclk_gen/Core:GLA }  [get_ports { mem_adr_pad_o mem_adr_pad_o[0] mem_adr_pad_o[10] mem_adr_pad_o[11] mem_adr_pad_o[12] mem_adr_pad_o[1] mem_adr_pad_o[2] mem_adr_pad_o[3] mem_adr_pad_o[4] mem_adr_pad_o[5] mem_adr_pad_o[6] mem_adr_pad_o[7] mem_adr_pad_o[8] mem_adr_pad_o[9] mem_ba_pad_o mem_ba_pad_o[0] mem_ba_pad_o[1] mem_cas_pad_o mem_cke_pad_o mem_cs_pad_o mem_dat_pad_io mem_dat_pad_io[0] mem_dat_pad_io[10] mem_dat_pad_io[11] mem_dat_pad_io[12] mem_dat_pad_io[13] mem_dat_pad_io[14] mem_dat_pad_io[15] mem_dat_pad_io[1] mem_dat_pad_io[2] mem_dat_pad_io[3] mem_dat_pad_io[4] mem_dat_pad_io[5] mem_dat_pad_io[6] mem_dat_pad_io[7] mem_dat_pad_io[8] mem_dat_pad_io[9] mem_dqm_pad_o mem_dqm_pad_o[0] mem_dqm_pad_o[1] mem_ras_pad_o mem_we_pad_o }]
51
 
52
set_output_delay  -min -1.000 -clock { iclk_gen/Core:GLA }  [get_ports { mem_adr_pad_o mem_adr_pad_o[0] mem_adr_pad_o[10] mem_adr_pad_o[11] mem_adr_pad_o[12] mem_adr_pad_o[1] mem_adr_pad_o[2] mem_adr_pad_o[3] mem_adr_pad_o[4] mem_adr_pad_o[5] mem_adr_pad_o[6] mem_adr_pad_o[7] mem_adr_pad_o[8] mem_adr_pad_o[9] mem_ba_pad_o mem_ba_pad_o[0] mem_ba_pad_o[1] mem_cas_pad_o mem_cke_pad_o mem_cs_pad_o mem_dat_pad_io mem_dat_pad_io[0] mem_dat_pad_io[10] mem_dat_pad_io[11] mem_dat_pad_io[12] mem_dat_pad_io[13] mem_dat_pad_io[14] mem_dat_pad_io[15] mem_dat_pad_io[1] mem_dat_pad_io[2] mem_dat_pad_io[3] mem_dat_pad_io[4] mem_dat_pad_io[5] mem_dat_pad_io[6] mem_dat_pad_io[7] mem_dat_pad_io[8] mem_dat_pad_io[9] mem_dqm_pad_o mem_dqm_pad_o[0] mem_dqm_pad_o[1] mem_ras_pad_o mem_we_pad_o }]
53
 
54
 
55
 
56
 
57
 
58
########   Delay Constraints  ########
59
 
60
 
61
 
62
########   Delay Constraints  ########
63
 
64
 
65
 
66
########   Multicycle Constraints  ########
67
 
68
 
69
 
70
########   False Path Constraints  ########
71
 
72
 
73
 
74
########   Output load Constraints  ########
75
 
76
 
77
 
78
########  Disable Timing Constraints #########
79
 
80
 
81
 
82
########  Clock Uncertainty Constraints #########
83
 
84
set_clock_uncertainty 0.4 -from { clk_i } -to { iclk_gen/Core:GLA iclk_gen/Core:GLB }
85
# PLL tracking jitter
86
 
87
set_clock_uncertainty 0.4 -from { iclk_gen/Core:GLA iclk_gen/Core:GLB } -to { clk_i }
88
# PLL tracking jitter
89
 
90
 
91
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.