OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpComponents/] [unitIcs307Configurator/] [src/] [tbIcs307Configurator-Bhv-a.vhdl] - Blame information for rev 185

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 79 rkastl
-------------------------------------------------------------------------------
2
-- Project: FH-Hagenberg/HSSE: Sandbox X general use IP
3
-- Author: Copyright 2006 by Markus Pfaff, Linz/Austria/Europe
4
-------------------------------------------------------------------------------
5
-- $LastChangedDate: 2007-01-09 08:40:02 +0100 (Di, 09 Jän 2007) $
6
-- $LastChangedRevision: 415 $
7
-- $LastChangedBy: pfaff $
8
-- $HeadURL: file:///C:/pfaff/rpySvn/rpySvnSet5/trunk/Uebung/W06Jg04/Uebung03/unitIcs307Configurator/src/tbIcs307Configurator-Bhv-a.vhd $
9
-- LoginNames: pfaff - Markus Pfaff, Linz/Austria/Europe
10
-------------------------------------------------------------------------------
11
-- Description: 
12
-------------------------------------------------------------------------------
13
 
14
library ieee;
15
use ieee.std_logic_1164.all;
16
use work.Global.all;
17
 
18
--------------------------------------------------------------------------------
19
 
20
architecture Bhv of tbIcs307Configurator is
21
 
22
  -- component generics
23
  constant cClkFrequency               : natural := 25E6;
24
  constant cIsLowPercentageOfDutyCycle : natural := 65;
25
  constant cInResetDuration            : time    := 140 ns;
26
  constant cStrobeFrequency            : natural := 12E6;
27
 
28
  -- component ports
29
  signal Clk         : std_ulogic;
30
  signal nResetAsync : std_ulogic;
31
  signal Strobe      : std_ulogic;
32
  signal Sclk        : std_ulogic;
33
  signal Data        : std_ulogic;
34
 
35
 
36
begin  -- architecture Behavioral
37
 
38
  Ics307Configurator_1 : entity work.Ics307Configurator
39
    --generic map (
40
    --  gCrystalLoadCapacitance_C   => gCrystalLoadCapacitance_C,
41
    --  gReferenceDivider_RDW       => gReferenceDivider_RDW,
42
    --  gVcoDividerWord_VDW         => gVcoDividerWord_VDW,
43
    --  gOutputDivide_S             => gOutputDivide_S,
44
    --  gClkFunctionSelect_R        => gClkFunctionSelect_R,
45
    --  gOutputDutyCycleVoltage_TTL => gOutputDutyCycleVoltage_TTL)
46
    port map (
47
      iClk         => Clk,
48
      inResetAsync => nResetAsync,
49
      oSclk        => Sclk,
50
      oData        => Data,
51
      oStrobe      => Strobe);
52
 
53
  -- reset generation
54
  PwrOnResetSource : entity work.PwrOnReset
55
    generic map (
56
      gInResetDuration => cInResetDuration)
57
    port map (
58
      onResetAsync => nResetAsync);
59
 
60
  ICS307_1: entity work.ICS307
61
    port map (
62
      iSclk        => Sclk,
63
      iData        => Data,
64
      iStrobe      => Strobe,
65
      oClk1        => Clk);
66
 
67
  StopSim : process is
68
  begin
69
    wait for 6 ms;
70
    assert false
71
      report "MP: Simulation stopped intenionally!"
72
      severity failure;
73
    wait;
74
  end process StopSim;
75
 
76
end architecture Bhv;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.