OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpSd/] [unitSdTop/] [src/] [SdTop-Rtl-ea.vhdl] - Blame information for rev 65

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 54 rkastl
-------------------------------------------------
2
-- file: ../../unitSdTop/src/SdTop-Rtl-ea.vhdl
3
-- author: Rainer Kastl
4
--
5
-- Top level entity for a SD Controller
6
-------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
use ieee.numeric_std.all;
11
use work.Global.all;
12
use work.Sd.all;
13
 
14
entity SdTop is
15
        port (
16
                iClk : in std_ulogic;
17
                inResetAsync : in std_ulogic;
18
 
19
                -- SD Card
20 65 rkastl
                ioCmd : inout std_logic; -- Cmd line to and from card
21
                oClk : out std_ulogic;
22
                ioData : inout std_logic_vector(3 downto 0)
23 54 rkastl
        );
24
end entity SdTop;
25
 
26
architecture Rtl of SdTop is
27
 
28
        signal ToController : aSdCmdToController;
29
        signal FromController : aSdCmdFromController;
30
 
31
begin
32 65 rkastl
        ioData <= "ZZZZ";
33
        oClk <= iClk;
34 54 rkastl
 
35
        SdController_inst: entity work.SdController(Rtl)
36
        port map (iClk => iClk,
37
                          inResetAsync => inResetAsync,
38
                          iSdCmd => ToController,
39
                          oSdCmd => FromController);
40
 
41
 
42
        SdCmd_inst: entity work.SdCmd(Rtl)
43
        port map (iClk => iClk,
44
                          inResetAsync => inResetAsync,
45
                          iFromController => FromController,
46
                          oToController => ToController,
47
                          ioCmd => ioCmd);
48
 
49
end architecture Rtl;
50
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.