OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [build/] [OpenCore_MAC/] [LoopbackFF/] [lpbff.v] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 jefflieu
// megafunction wizard: %FIFO%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: scfifo 
5
 
6
// ============================================================
7
// File Name: lpbff.v
8
// Megafunction Name(s):
9
//                      scfifo
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2009 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module lpbff (
40
        clock,
41
        data,
42
        rdreq,
43
        wrreq,
44
        almost_empty,
45
        almost_full,
46
        empty,
47
        full,
48
        q);
49
 
50
        input     clock;
51
        input   [35:0]  data;
52
        input     rdreq;
53
        input     wrreq;
54
        output    almost_empty;
55
        output    almost_full;
56
        output    empty;
57
        output    full;
58
        output  [35:0]  q;
59
 
60
        wire  sub_wire0;
61
        wire  sub_wire1;
62
        wire  sub_wire2;
63
        wire [35:0] sub_wire3;
64
        wire  sub_wire4;
65
        wire  almost_full = sub_wire0;
66
        wire  empty = sub_wire1;
67
        wire  almost_empty = sub_wire2;
68
        wire [35:0] q = sub_wire3[35:0];
69
        wire  full = sub_wire4;
70
 
71
        scfifo  scfifo_component (
72
                                .rdreq (rdreq),
73
                                .clock (clock),
74
                                .wrreq (wrreq),
75
                                .data (data),
76
                                .almost_full (sub_wire0),
77
                                .empty (sub_wire1),
78
                                .almost_empty (sub_wire2),
79
                                .q (sub_wire3),
80
                                .full (sub_wire4)
81
                                // synopsys translate_off
82
                                ,
83
                                .aclr (),
84
                                .sclr (),
85
                                .usedw ()
86
                                // synopsys translate_on
87
                                );
88
        defparam
89
                scfifo_component.add_ram_output_register = "OFF",
90
                scfifo_component.almost_empty_value = 2,
91
                scfifo_component.almost_full_value = 511,
92
                scfifo_component.intended_device_family = "Arria II GX",
93
                scfifo_component.lpm_numwords = 512,
94
                scfifo_component.lpm_showahead = "OFF",
95
                scfifo_component.lpm_type = "scfifo",
96
                scfifo_component.lpm_width = 36,
97
                scfifo_component.lpm_widthu = 9,
98
                scfifo_component.overflow_checking = "ON",
99
                scfifo_component.underflow_checking = "ON",
100
                scfifo_component.use_eab = "ON";
101
 
102
 
103
endmodule
104
 
105
// ============================================================
106
// CNX file retrieval info
107
// ============================================================
108
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "1"
109
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "2"
110
// Retrieval info: PRIVATE: AlmostFull NUMERIC "1"
111
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "511"
112
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "1"
113
// Retrieval info: PRIVATE: Clock NUMERIC "0"
114
// Retrieval info: PRIVATE: Depth NUMERIC "512"
115
// Retrieval info: PRIVATE: Empty NUMERIC "1"
116
// Retrieval info: PRIVATE: Full NUMERIC "1"
117
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Arria II GX"
118
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
119
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
120
// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
121
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
122
// Retrieval info: PRIVATE: Optimize NUMERIC "2"
123
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
124
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
125
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
126
// Retrieval info: PRIVATE: UsedW NUMERIC "0"
127
// Retrieval info: PRIVATE: Width NUMERIC "36"
128
// Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
129
// Retrieval info: PRIVATE: diff_widths NUMERIC "0"
130
// Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
131
// Retrieval info: PRIVATE: output_width NUMERIC "36"
132
// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
133
// Retrieval info: PRIVATE: rsFull NUMERIC "0"
134
// Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
135
// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
136
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
137
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
138
// Retrieval info: PRIVATE: wsFull NUMERIC "1"
139
// Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
140
// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
141
// Retrieval info: CONSTANT: ALMOST_EMPTY_VALUE NUMERIC "2"
142
// Retrieval info: CONSTANT: ALMOST_FULL_VALUE NUMERIC "511"
143
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Arria II GX"
144
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "512"
145
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
146
// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"
147
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "36"
148
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "9"
149
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
150
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
151
// Retrieval info: CONSTANT: USE_EAB STRING "ON"
152
// Retrieval info: USED_PORT: almost_empty 0 0 0 0 OUTPUT NODEFVAL almost_empty
153
// Retrieval info: USED_PORT: almost_full 0 0 0 0 OUTPUT NODEFVAL almost_full
154
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
155
// Retrieval info: USED_PORT: data 0 0 36 0 INPUT NODEFVAL data[35..0]
156
// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty
157
// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full
158
// Retrieval info: USED_PORT: q 0 0 36 0 OUTPUT NODEFVAL q[35..0]
159
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
160
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
161
// Retrieval info: CONNECT: @data 0 0 36 0 data 0 0 36 0
162
// Retrieval info: CONNECT: q 0 0 36 0 @q 0 0 36 0
163
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
164
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
165
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
166
// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0
167
// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0
168
// Retrieval info: CONNECT: almost_full 0 0 0 0 @almost_full 0 0 0 0
169
// Retrieval info: CONNECT: almost_empty 0 0 0 0 @almost_empty 0 0 0 0
170
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
171
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff.v TRUE
172
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff.inc FALSE
173
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff.cmp FALSE
174
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff.bsf FALSE
175
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff_inst.v FALSE
176
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff_bb.v TRUE
177
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff_waveforms.html FALSE
178
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff_wave*.jpg FALSE
179
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.