OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [build/] [OpenCore_MAC/] [LoopbackFF/] [lpbff_bb.v] - Blame information for rev 26

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 26 jefflieu
// megafunction wizard: %FIFO%VBB%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: scfifo 
5
 
6
// ============================================================
7
// File Name: lpbff.v
8
// Megafunction Name(s):
9
//                      scfifo
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 9.0 Build 235 06/17/2009 SP 2 SJ Full Version
18
// ************************************************************
19
 
20
//Copyright (C) 1991-2009 Altera Corporation
21
//Your use of Altera Corporation's design tools, logic functions 
22
//and other software and tools, and its AMPP partner logic 
23
//functions, and any output files from any of the foregoing 
24
//(including device programming or simulation files), and any 
25
//associated documentation or information are expressly subject 
26
//to the terms and conditions of the Altera Program License 
27
//Subscription Agreement, Altera MegaCore Function License 
28
//Agreement, or other applicable license agreement, including, 
29
//without limitation, that your use is for the sole purpose of 
30
//programming logic devices manufactured by Altera and sold by 
31
//Altera or its authorized distributors.  Please refer to the 
32
//applicable agreement for further details.
33
 
34
module lpbff (
35
        clock,
36
        data,
37
        rdreq,
38
        wrreq,
39
        almost_empty,
40
        almost_full,
41
        empty,
42
        full,
43
        q);
44
 
45
        input     clock;
46
        input   [35:0]  data;
47
        input     rdreq;
48
        input     wrreq;
49
        output    almost_empty;
50
        output    almost_full;
51
        output    empty;
52
        output    full;
53
        output  [35:0]  q;
54
 
55
endmodule
56
 
57
// ============================================================
58
// CNX file retrieval info
59
// ============================================================
60
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "1"
61
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "2"
62
// Retrieval info: PRIVATE: AlmostFull NUMERIC "1"
63
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "511"
64
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "1"
65
// Retrieval info: PRIVATE: Clock NUMERIC "0"
66
// Retrieval info: PRIVATE: Depth NUMERIC "512"
67
// Retrieval info: PRIVATE: Empty NUMERIC "1"
68
// Retrieval info: PRIVATE: Full NUMERIC "1"
69
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Arria II GX"
70
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
71
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
72
// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
73
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
74
// Retrieval info: PRIVATE: Optimize NUMERIC "2"
75
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
76
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
77
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
78
// Retrieval info: PRIVATE: UsedW NUMERIC "0"
79
// Retrieval info: PRIVATE: Width NUMERIC "36"
80
// Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
81
// Retrieval info: PRIVATE: diff_widths NUMERIC "0"
82
// Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
83
// Retrieval info: PRIVATE: output_width NUMERIC "36"
84
// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
85
// Retrieval info: PRIVATE: rsFull NUMERIC "0"
86
// Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
87
// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
88
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
89
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
90
// Retrieval info: PRIVATE: wsFull NUMERIC "1"
91
// Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
92
// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
93
// Retrieval info: CONSTANT: ALMOST_EMPTY_VALUE NUMERIC "2"
94
// Retrieval info: CONSTANT: ALMOST_FULL_VALUE NUMERIC "511"
95
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Arria II GX"
96
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "512"
97
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
98
// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"
99
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "36"
100
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "9"
101
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
102
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
103
// Retrieval info: CONSTANT: USE_EAB STRING "ON"
104
// Retrieval info: USED_PORT: almost_empty 0 0 0 0 OUTPUT NODEFVAL almost_empty
105
// Retrieval info: USED_PORT: almost_full 0 0 0 0 OUTPUT NODEFVAL almost_full
106
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
107
// Retrieval info: USED_PORT: data 0 0 36 0 INPUT NODEFVAL data[35..0]
108
// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty
109
// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full
110
// Retrieval info: USED_PORT: q 0 0 36 0 OUTPUT NODEFVAL q[35..0]
111
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
112
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
113
// Retrieval info: CONNECT: @data 0 0 36 0 data 0 0 36 0
114
// Retrieval info: CONNECT: q 0 0 36 0 @q 0 0 36 0
115
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
116
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
117
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
118
// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0
119
// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0
120
// Retrieval info: CONNECT: almost_full 0 0 0 0 @almost_full 0 0 0 0
121
// Retrieval info: CONNECT: almost_empty 0 0 0 0 @almost_empty 0 0 0 0
122
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
123
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff.v TRUE
124
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff.inc FALSE
125
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff.cmp FALSE
126
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff.bsf FALSE
127
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff_inst.v FALSE
128
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff_bb.v TRUE
129
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff_waveforms.html FALSE
130
// Retrieval info: GEN_FILE: TYPE_NORMAL lpbff_wave*.jpg FALSE
131
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.